Copy Link
Add to Bookmark
Report

Dreamcast design example: G2 bus USBN9603 interface

The original article, written by jj1odm, is available at http://jj1odm.sizious.com/

Dreamcast's profile picture
Published in 
Dreamcast
 · 16 Sep 2018
Dreamcast design example: G2 bus USBN9603 interface
Pin it

spec of 'g2usb': (G2 bus USBN9603 interface version 3)
device address mapping: (byte align)
0xB4000000: USBN9603 data register
0xB4000002: USBN9603 adrs register
0xB4000001: GPIO port register (6bit GPIO port (read/write))
0xB4000003: CONTROL register
bit[7] 'irqen' enable flag (read/write)
bit[6] 'intp' status (read)
bit[5:0] GPIO direction IN:1 / OUT:0 (read/write)
interrupt: use /IRQA
device access time: 160nSEC (use /BTA /BTB control)
device enable/disable logic: (for internal reset)
enable: write word MAGIC code
disable: write long word MAGIC code
magic code: 0x4b46 (my initial name 'KF')


g2usb circuit

Dreamcast design example: G2 bus USBN9603 interface
Pin it

cpld internal ciucuit

g2usb3.v

 
// $$$ : g2usb3.v -- G2 bus < => USBN9603 (mode 0) interface version 3.
//
// used circuit: g2usbn9603_3.gif
// used device: XC9572XL-VQ44 (Xilinx)
// last update: 2006/10/30
//
// device mapping:
// base address + 0: USBN9603 data register (lower byte mapping)
// base address + 2: USBN9603 adrs register (lower byte mapping)
// base address + 1: GPIO port register (upper byte mapping)
// base address + 3: CONTROL register (upper byte mapping)
// CONTROL register:
// bit[7] 'irqen' enable flag (read/write)
// bit[6] 'intp' status (read)
// bit[5:0] GPIO direction IN:1 / OUT:0 (read/write)
//
// history:
// version 3: (circuit: g2usbn9603_3.gif)
// use G2 bus /BTA /BTB signal. (bus access time control)
// USBN9603 data bus to direct G2 bus (not enough terminal 'XC9572XL-VQ44')
// add GPIO port P5 - P0 (6bit)
// add device enable/disable logic (use magic code)
// enable: write word MAGIC_CODE
// disable: write long word MAGIC_CODE
// add internal reset (use 'magic_valid' flag)
//
// version 2: (circuit: g2usbn9603_2.gif)
// change G2 bus signal name (dir => lben / den => uben)
// device address mapping: from long word align to byte align
// device access: from word access to byte access
//
// date 2006/10/19 designed by jj1odm

`timescale 1ns / 1ps

module g2usb3(
input clk, // G2 bus system clock (25MHz)
input aen, // G2 bus address enable (active low)
input lben, // G2 bus direction and lower byte enable (active low) [change name: dir => lben]
input uben, // G2 bus upper byte enable (active low) [change name: den => uben]
inout [15:0] ad, // G2 bus address/data multiplexed bus (16 bit)
output btan, // G2 bus bus termination A (active low)
output btbn, // G2 bus bus termination B (active low)
output irqn, // G2 bus interrupt (open drain active low)

output csn, // USBN9603 chip select (active low)
output a0, // USBN9603 a0 address (data/adrs register select)
output rdn, // USBN9603 read strobe (active low)
output wrn, // USBN9603 write strobe (active low)
input intp, // USBN9603 interrupt (active high)

inout [5:0] p // GPIO port (6 bit)
);

parameter IOBASE_HIGH = 13'h1400; // I/O base high word address
parameter IOBASE_LOW = 14'b00000000000000; // I/O base low word address

parameter MAGIC_CODE = 16'h4b46; // magic code (my initial name 'KF')

parameter G2_IDLE = 2'b00; // G2 bus idle state
parameter G2_HI_ADDRESS = 2'b01; // G2 bus high address state
parameter G2_DATA = 2'b11; // G2 bus data state
parameter G2_END = 2'b10; // G2 bus end state

parameter ACCESS_WIDTH = 0; // bus access width (ACCESS_WIDTH * 40nSEC) + 160nSEC

reg magic_valid = 1'b0; // magic code valid flag register (init 0)
reg [1:0] g2bus_state = G2_IDLE; // G2 bus state machine register (init G2_IDLE state)
reg aen_old = 1'b1; // G2 bus cycle detect register (init '1')
reg [3:0] wait_cnt = 4'b0000; // G2 bus wait counter (init 0)
reg bus_end = 1'b1; // bus end flag (active low)

reg [15:0] g2reg; // for g2 bus tri-state logic
reg adrs; // 'AD1' address latch register (1bit)
reg direction; // direction latch register
reg irqen = 1'b0; // interrupt enable register (initial disable)
reg [5:0] gpioreg = 6'b000000; // GPIO output port register (init 0)
reg [5:0] gpiodir = 6'b111111; // GPIO direction control register (init all input)
wire bus_valid; // bus cycle valid flag node
wire bus_valid_2; // bus cycle valid 2 flag node
wire [7:0] control_node; // control register node

// control register node bit assign
assign control_node[7] = irqen; // interrupt enable (bit 7)
assign control_node[6] = intp; // assign 'intp' to (bit 6)
assign control_node[5:0] = gpiodir; // bit [5:0] GPIO direction register

// GPIO port assign
assign p[5] = gpiodir[5] ? 1'bz : gpioreg[5]; // P5
assign p[4] = gpiodir[4] ? 1'bz : gpioreg[4]; // P4
assign p[3] = gpiodir[3] ? 1'bz : gpioreg[3]; // P3
assign p[2] = gpiodir[2] ? 1'bz : gpioreg[2]; // P2
assign p[1] = gpiodir[1] ? 1'bz : gpioreg[1]; // P1
assign p[0] = gpiodir[0] ? 1'bz : gpioreg[0]; // P0

// 'irqn' siganl assign
assign irqn = (intp & irqen) ? 1'b0 : 1'bz; // assign 'irqn'

// G2 bus termination control A/B (for byte access)
assign btan = g2bus_state == G2_END ? bus_end : 1'bz;
assign btbn = g2bus_state == G2_END ? bus_end : 1'bz;

// USBN9603 interface node (lower byte access)
assign bus_valid = magic_valid & (g2bus_state == G2_DATA | g2bus_state == G2_END); // bus valid flag
assign bus_valid_2 = g2bus_state == G2_DATA | g2bus_state == G2_END; // bus valid 2 flag
assign csn = ~(~lben & uben & bus_valid); // USBN9603 'csn'
assign a0 = adrs; // USBN9603 'a0'
assign rdn = ~(direction & ~lben & uben & bus_valid); // USBN9603 'rdn'
assign wrn = ~(~direction & ~lben & uben & bus_valid); // USBN9603 'wrn'

// G2 bus tri-state buffer & etc registers logic (upper byte access)
assign ad = g2reg;

always @(magic_valid or bus_valid_2 or uben or lben or direction or adrs or control_node or p or ad) begin
if (magic_valid) begin
if (bus_valid_2 & ~uben & lben) begin // upper byte access
if (direction) begin // read
g2reg[7:0] < = 8'hzz;
if (adrs) g2reg[15:8] < = control_node; // read control register
else g2reg[15:8] < = {2'b00, p}; // read GPIO port (msb 2bit always '0')
end
else begin // write
g2reg < = 16'hzzzz;
if (adrs) begin // control register
irqen < = ad[15]; // write irqen bit (bit 7)
gpiodir < = ad[13:8]; // write GPIO direction register
end
else gpioreg < = ad[13:8]; // write GPIO port register
end
end
else g2reg < = 16'hzzzz;
end
else begin // internal reset: magic_valid == 0 then reset etc regs
g2reg < = 16'hzzzz;
irqen < = 1'b0;
gpiodir < = 6'b111111;
gpioreg < = 6'b000000;
end
end

// G2 bus state machine (ignore: not this device or long word access)
always @(posedge clk) begin
aen_old < = aen; // for negtive edge detect 'aen'

// idle state
if (g2bus_state == G2_IDLE) begin
// negtive edge detect 'aen' & equal IOBASE_LOW
if (~aen & aen_old & ad[15:2] == IOBASE_LOW) begin
adrs < = ad[1]; // 'AD1' (low word address) latch
direction < = lben; // direcion latch
wait_cnt < = 4'b0000; // init wait count
bus_end < = 1'b1; // init bus end flag
g2bus_state < = G2_HI_ADDRESS; // next state
end
end

// high address state
if (g2bus_state == G2_HI_ADDRESS) begin
if (ad[12:0] == IOBASE_HIGH) g2bus_state < = G2_DATA; // this device then next state
else g2bus_state < = G2_IDLE; // else return idle state
end

// data state
if (g2bus_state == G2_DATA) begin
if (~magic_valid) begin
if (~adrs & ~uben & ~lben & aen & ~direction & ad == MAGIC_CODE) begin // IOBASE write MAGIC_CODE (word) then
magic_valid < = 1'b1; // set 'magic_valid' flag (device enable)
end
g2bus_state < = G2_IDLE; // return idle state
end
else begin // magic_valid flag on then valid bus cycle
if (~aen) begin // long word access
if (~adrs & ~uben & ~lben & ~direction & ad == MAGIC_CODE) begin // IOBASE write MAGIC_CODE (long word) then
magic_valid < = 1'b0; // clear 'magic_valid' flag (device disable)
end
g2bus_state < = G2_IDLE; // return idle state
end
else begin // normal bus access
wait_cnt < = wait_cnt + 4'b0001;
if (wait_cnt == ACCESS_WIDTH) begin // check bus wait count
bus_end < = 1'b0; // bus_end active low
g2bus_state < = G2_END; // next state
end
end
end
end

// bus end state (for bus end pulse)
if (g2bus_state == G2_END) begin
bus_end < = 1'b1; // 1 clock bus_end high
if (bus_end) begin // for fast rising edge (guard of hi-z)
g2bus_state < = G2_IDLE; // return idle state
end
end
end

endmodule

// end of : g2usb3.v

g2usb3.ucf

 
// Template UCF file created by the Project Navigator
#PACE: Start of Constraints generated by PACE

#PACE: Start of PACE I/O Pin Assignments
NET "a0" LOC = "P22" ;
NET "ad< 0>" LOC = "P30" ;
NET "ad< 10>" LOC = "P41" ;
NET "ad< 11>" LOC = "P42" ;
NET "ad< 12>" LOC = "P43" ;
NET "ad< 13>" LOC = "P44" ;
NET "ad< 14>" LOC = "P2" ;
NET "ad< 15>" LOC = "P3" ;
NET "ad< 1>" LOC = "P31" ;
NET "ad< 2>" LOC = "P32" ;
NET "ad< 3>" LOC = "P33" ;
NET "ad< 4>" LOC = "P34" ;
NET "ad< 5>" LOC = "P36" ;
NET "ad< 6>" LOC = "P37" ;
NET "ad< 7>" LOC = "P38" ;
NET "ad< 8>" LOC = "P39" ;
NET "ad< 9>" LOC = "P40" ;
NET "aen" LOC = "P5" ;
NET "clk" LOC = "P1" ;
NET "csn" LOC = "P29" ;
NET "btbn" LOC = "P21" ;
NET "btan" LOC = "P20" ;
NET "p< 0>" LOC = "P19" ;
NET "p< 1>" LOC = "P18" ;
NET "p< 2>" LOC = "P16" ;
NET "p< 3>" LOC = "P14" ;
NET "p< 4>" LOC = "P13" ;
NET "p< 5>" LOC = "P12" ;
NET "uben" LOC = "P6" ;
NET "lben" LOC = "P7" ;
NET "intp" LOC = "P23" ;
NET "irqn" LOC = "P8" ;
NET "rdn" LOC = "P28" ;
NET "wrn" LOC = "P27" ;

#PACE: Start of PACE Area Constraints

#PACE: Start of PACE Prohibit Constraints

#PACE: End of Constraints generated by PACE

cpld fuse data

 
Programmer Jedec Bit Map
Date Extracted: Fri Nov 10 01:26:07 2006

QF46656*
QP44*
QV0*
F0*
X0*
J0 0*
N DEVICE XC9572XL-5-VQ44*
N PPMAP 24 1*
N PPMAP 46 12*
N PPMAP 49 13*
N PPMAP 50 14*
N PPMAP 52 16*
N PPMAP 59 18*
N PPMAP 62 19*
N PPMAP 26 2*
N PPMAP 63 20*
N PPMAP 65 21*
N PPMAP 68 22*
N PPMAP 72 23*
N PPMAP 82 27*
N PPMAP 83 28*
N PPMAP 87 29*
N PPMAP 27 3*
N PPMAP 88 30*
N PPMAP 89 31*
N PPMAP 90 32*
N PPMAP 92 33*
N PPMAP 3 34*
N PPMAP 7 36*
N PPMAP 9 37*
N PPMAP 10 38*
N PPMAP 11 39*
N PPMAP 12 40*
N PPMAP 13 41*
N PPMAP 15 42*
N PPMAP 20 43*
N PPMAP 21 44*
N PPMAP 29 5*
N PPMAP 31 6*
N PPMAP 33 7*
N PPMAP 38 8*
L0000000 00000000 00000000 00000000 00000000*
L0000032 00000000 00000000 00000000 01100000*
L0000064 00000000 00000000 00000000 00000000*
L0000096 00000000 00000000 00000000 00010000*
L0000128 00000000 00000000 00000000 00001000*
L0000160 00000000 00000000 00000000 00000000*
L0000192 00000000 00000000 00000000 00000000*
L0000224 00000000 00000000 00000000 00000000*
L0000256 00000000 00000000 00000000 00110000*
L0000288 000000 000000 000000 000000*
L0000312 000000 000000 000000 000000*
L0000336 000000 000000 000000 110000*
L0000360 000000 000000 000000 000100*
L0000384 000000 000000 000000 000100*
L0000408 000000 000000 000000 000000*
L0000432 00000000 00000000 00000000 00000000*
L0000464 00000000 00000000 00000000 00000000*
L0000496 00000000 00000000 00000000 00000000*
L0000528 00000000 00000000 00000000 00000000*
L0000560 00000000 00000000 00000000 00000000*
L0000592 00000000 00000000 00000000 00000000*
L0000624 00000000 00000000 00000000 00000000*
L0000656 00000000 00000000 00000000 00000100*
L0000688 00000000 00000000 00000000 00000100*
L0000720 000000 000000 000000 000000*
L0000744 000000 000000 000000 001000*
L0000768 000000 000000 000000 001000*
L0000792 000000 000000 000000 000000*
L0000816 000000 000000 000010 000000*
L0000840 000000 000000 000010 000000*
L0000864 00000000 00000000 00000000 00000000*
L0000896 00000000 00000000 00000000 00000000*
L0000928 00000000 00000000 00000000 00000000*
L0000960 00000010 00000000 00000000 00000000*
L0000992 00000000 00000000 00000000 00000000*
L0001024 00000000 00000000 00000000 00000000*
L0001056 00000000 00000000 00000000 00000000*
L0001088 00000000 00000000 00000000 00000000*
L0001120 00000000 00000000 00000000 00000000*
L0001152 000000 000000 000000 000000*
L0001176 000000 000000 000000 000000*
L0001200 000000 000000 000000 000000*
L0001224 000000 000000 000000 000000*
L0001248 000000 000000 000000 000000*
L0001272 000000 000000 000000 000000*
L0001296 00000000 00000000 00000000 00000000*
L0001328 00000000 00000000 00000000 00000000*
L0001360 00000000 00000000 00000000 00000000*
L0001392 00000000 00000000 00000000 00000000*
L0001424 00000000 00000000 00000000 00000000*
L0001456 00000000 00000000 00000000 10000000*
L0001488 00000000 00000000 00000000 00000000*
L0001520 00000000 00000000 00000000 10000000*
L0001552 00000000 00000000 00000000 10000000*
L0001584 000000 000000 000000 100000*
L0001608 000000 000000 000000 000000*
L0001632 000000 000000 000000 000000*
L0001656 000000 000000 000000 100000*
L0001680 000000 000000 000000 100000*
L0001704 000000 000000 000000 000000*
L0001728 00000000 00000000 00000000 00000000*
L0001760 00000000 00000000 00000000 01100000*
L0001792 00000000 00000000 00000000 00000000*
L0001824 00000000 00000000 00000000 00010000*
L0001856 00000000 00000000 00000000 00000000*
L0001888 00000000 00000000 00000000 00000000*
L0001920 00000000 00000000 00000000 00000000*
L0001952 00000000 00000000 00000000 00000000*
L0001984 00000000 00000000 00000000 00110000*
L0002016 000000 000000 000000 000000*
L0002040 000000 000000 000000 001000*
L0002064 000000 000000 000000 111000*
L0002088 000000 000000 000000 000000*
L0002112 000000 000000 000000 000100*
L0002136 000000 000000 000000 000000*
L0002160 00000000 00000000 00000000 00000000*
L0002192 00000000 00000000 00000000 00000000*
L0002224 00000000 00000000 00000000 00000000*
L0002256 00000000 00000000 00000000 00000000*
L0002288 00000000 00000000 00000000 00001000*
L0002320 00000000 00000000 00000000 00000000*
L0002352 00000000 00000000 00000000 00000000*
L0002384 00000000 00000000 00000000 00000000*
L0002416 00000000 00000000 00000000 00000000*
L0002448 000000 000000 000000 000000*
L0002472 000000 000000 000000 000000*
L0002496 000000 000000 000001 000000*
L0002520 000000 000000 000001 000110*
L0002544 000000 000000 000000 000010*
L0002568 000000 000000 000000 000000*
L0002592 00000010 00000000 00000000 00000000*
L0002624 00000001 00000000 00000000 00000000*
L0002656 00000010 00000000 00000000 00000000*
L0002688 00000011 00000000 00000000 00000000*
L0002720 00000000 00000000 00000000 00000000*
L0002752 00000011 00000000 00000000 00000000*
L0002784 00000000 00000000 00000000 00000000*
L0002816 00000001 00000000 00000000 00100000*
L0002848 00000000 00000000 00000000 00000000*
L0002880 000000 000000 000000 000000*
L0002904 000000 000000 000000 000000*
L0002928 000000 000000 000000 000000*
L0002952 000000 000000 000000 000000*
L0002976 000000 000000 000000 000000*
L0003000 000000 000000 000000 000000*
L0003024 00000010 00000000 00000000 00000000*
L0003056 00000011 00000000 00000000 01000000*
L0003088 00000010 00000000 00000000 00001000*
L0003120 00000010 00000000 00000000 00000000*
L0003152 00000010 00000000 00000000 00000000*
L0003184 00000011 00000000 00000000 00000000*
L0003216 00000000 00000000 00000000 00000000*
L0003248 00000011 00000000 00000000 00000000*
L0003280 00000000 00000000 00000000 00000000*
L0003312 000000 000000 000000 000000*
L0003336 000000 000000 000000 100000*
L0003360 000000 000000 000000 000000*
L0003384 000000 000000 000000 000000*
L0003408 000000 000000 000000 000000*
L0003432 000000 000000 000000 000000*
L0003456 00000000 00000000 00000000 00000000*
L0003488 00000000 00000000 00000000 01100000*
L0003520 00000000 00000000 00000000 00000000*
L0003552 00000000 00000000 00000000 00010000*
L0003584 00000000 00000000 00000000 00000000*
L0003616 00000000 00000000 00000000 00000000*
L0003648 00000000 00000000 00000000 00000000*
L0003680 00000000 00000000 00000000 00000000*
L0003712 00000000 00000000 00000000 00110000*
L0003744 000000 000000 000000 000000*
L0003768 000000 000000 000000 000000*
L0003792 000000 000000 000000 110000*
L0003816 000000 000000 000000 000000*
L0003840 000000 000000 000000 000100*
L0003864 000000 000000 000000 000000*
L0003888 00000000 00000000 00000000 00000000*
L0003920 00000000 00000000 00000000 00000000*
L0003952 00000000 00000000 00000000 00000000*
L0003984 00000000 00000000 00000000 00000000*
L0004016 00000000 00000000 00000000 00000000*
L0004048 00000000 00000000 00000000 00000000*
L0004080 00000000 00000000 00000000 00000000*
L0004112 00000000 00000000 00000000 00000000*
L0004144 00000000 00000000 00000000 00000000*
L0004176 000000 000000 000000 000000*
L0004200 000000 000000 000000 001000*
L0004224 000000 000000 000000 001000*
L0004248 000000 000000 000000 000000*
L0004272 000000 000000 000000 000000*
L0004296 000000 000000 000000 000000*
L0004320 00000000 00000000 00000000 00000000*
L0004352 00000000 00000000 00000000 00000000*
L0004384 00000000 00000000 00000000 00000000*
L0004416 00000000 00000000 00000000 00000000*
L0004448 00000000 00000000 00000000 00000000*
L0004480 00000000 00000000 00000000 00000000*
L0004512 00000000 00000000 00000000 00000000*
L0004544 00000000 00000000 00000000 00100000*
L0004576 00000000 00000000 00000000 00000000*
L0004608 000000 000000 000000 000000*
L0004632 000000 000000 000000 000000*
L0004656 000000 000000 000000 000000*
L0004680 000000 000000 000000 000000*
L0004704 000000 000000 000000 000000*
L0004728 000000 000000 000000 000000*
L0004752 00000000 00000000 00000000 01000000*
L0004784 00000000 00000000 00000000 00001000*
L0004816 00000000 00000000 00000000 00000000*
L0004848 00000000 00000000 00000000 00000000*
L0004880 00000000 00000000 00000000 00000000*
L0004912 00000000 00000000 00000000 00000000*
L0004944 00000000 00000000 00000000 00000000*
L0004976 00010000 00000000 00000000 00000000*
L0005008 00000000 00000000 00000000 00000000*
L0005040 000000 000000 000000 000000*
L0005064 000000 000000 000000 100000*
L0005088 000000 000000 000000 010000*
L0005112 000000 000000 000000 000000*
L0005136 000000 000000 000000 000000*
L0005160 000000 000000 000000 000000*
L0005184 00000011 00000000 00000000 00000011*
L0005216 00000011 00000010 00000001 01100011*
L0005248 00000000 00000000 00000000 00000011*
L0005280 00000001 00000000 00000000 00010011*
L0005312 00000011 00000000 00000001 00001011*
L0005344 00000011 00000000 00000001 00000011*
L0005376 00000011 00000000 00000001 00000011*
L0005408 00000011 00000000 00000001 00000011*
L0005440 00000011 00000000 00000000 00110011*
L0005472 000000 000000 000000 000000*
L0005496 000000 000000 000000 000000*
L0005520 000000 000000 000000 110000*
L0005544 000000 000000 000000 000100*
L0005568 000000 000000 000000 000100*
L0005592 000000 000000 000000 000000*
L0005616 00000000 00000000 00000000 00000000*
L0005648 00000000 00000000 00000000 00000000*
L0005680 00000001 00000000 00000000 00000000*
L0005712 00000000 00000000 00000000 00000000*
L0005744 00000000 00000000 00000000 00000000*
L0005776 00000000 00000000 00010000 00000000*
L0005808 00000000 00000000 00000000 00000000*
L0005840 00000000 00000000 00000000 00000000*
L0005872 10000100 00000000 00000001 00000000*
L0005904 100001 000000 000000 000000*
L0005928 000000 000000 000000 001000*
L0005952 000000 000000 000000 001000*
L0005976 000000 000000 000000 000000*
L0006000 000000 000000 000000 000000*
L0006024 000000 000000 000000 000000*
L0006048 00000011 00000000 00000000 00000000*
L0006080 00000011 00000000 00000001 00000010*
L0006112 00000000 00000000 00000000 00000011*
L0006144 00000001 00000000 00000000 00000011*
L0006176 00000011 00000000 00000001 00000011*
L0006208 00000011 00000000 00000001 00000010*
L0006240 00000011 00000000 00000001 00000011*
L0006272 00000011 00000000 00000001 00000010*
L0006304 00000011 00000000 00000010 00000011*
L0006336 000000 000000 000000 000000*
L0006360 000000 000000 000000 000000*
L0006384 000000 000000 000000 000000*
L0006408 000000 000000 000000 000000*
L0006432 000000 000000 000000 000000*
L0006456 000000 000000 000000 000000*
L0006480 00000000 00000000 00000000 00000010*
L0006512 00000011 00000000 00000001 00000010*
L0006544 00000001 00000000 00000000 00000000*
L0006576 00000000 00000000 00000000 00000000*
L0006608 00000010 00000000 00000001 00000010*
L0006640 00000011 00000000 00000001 00000001*
L0006672 00000000 00000000 00000001 00000000*
L0006704 00000011 00000000 00000001 00000011*
L0006736 00000010 00000000 00000011 00000000*
L0006768 000000 000000 000000 000000*
L0006792 000000 000000 000000 000000*
L0006816 000000 000000 000000 000000*
L0006840 000000 000000 000000 000000*
L0006864 000000 000000 000000 000000*
L0006888 000000 000000 000000 000000*
L0006912 00000011 00000000 00000000 00000000*
L0006944 00000011 00000000 00000000 00000010*
L0006976 00000000 00000000 00000000 00000001*
L0007008 00000000 00000000 00000000 00000001*
L0007040 00000011 00000000 00000000 00001001*
L0007072 00000010 00000000 00000000 00000000*
L0007104 00000011 00000000 00000001 00000001*
L0007136 00000010 00000000 00000001 00000010*
L0007168 00000011 00000000 00000000 00000001*
L0007200 000000 000000 000000 000000*
L0007224 000000 000000 000000 001000*
L0007248 000000 000000 000000 001000*
L0007272 000000 000000 000000 000100*
L0007296 000000 000000 000000 000000*
L0007320 000000 000000 000000 000000*
L0007344 00000000 00000000 00000000 00000010*
L0007376 00000000 00000000 00000000 00000000*
L0007408 00000001 00000000 00000000 00000010*
L0007440 00000001 00000000 00000000 00000000*
L0007472 00000000 00000000 00000000 00000000*
L0007504 00000001 00000000 00000001 00000001*
L0007536 00000000 00000000 00000000 00000000*
L0007568 00000001 00000000 00000000 00000001*
L0007600 00000000 00000000 00000001 00000000*
L0007632 000000 000000 000000 000000*
L0007656 000000 000000 000000 000000*
L0007680 000000 000000 000000 000000*
L0007704 000000 000000 000000 000000*
L0007728 000000 000000 000000 000000*
L0007752 000000 000000 000000 000000*
L0007776 00000011 00000000 00000000 00000001*
L0007808 00000000 00000000 00000000 00000011*
L0007840 00000000 00000000 00000000 00000000*
L0007872 00000000 00000000 00000000 10000001*
L0007904 00001001 00000000 00000000 00000001*
L0007936 00000000 00000000 00000000 00000000*
L0007968 00000011 00000000 00000001 00000001*
L0008000 00000000 00000000 00000001 00000010*
L0008032 00000001 00000000 00000000 00000101*
L0008064 100001 000000 000000 000000*
L0008088 000000 000000 000000 001010*
L0008112 000000 000000 000000 001000*
L0008136 000000 000000 000001 000000*
L0008160 000100 000000 000000 000010*
L0008184 011010 000000 000010 100000*
L0008208 00000000 00000000 00000000 00000011*
L0008240 00000010 00000000 00000000 00000001*
L0008272 00000001 00000000 00000000 00000011*
L0008304 10110001 00000000 00000000 00000000*
L0008336 00000100 00000000 00000100 00000100*
L0008368 00000011 00000000 00000001 00000101*
L0008400 11110100 00000000 00000000 00000000*
L0008432 00000001 00000000 00000000 00000001*
L0008464 00000010 00000000 00000000 00000000*
L0008496 000010 000000 000001 100010*
L0008520 000000 000000 000000 000000*
L0008544 011010 000000 000000 000000*
L0008568 000100 000000 000000 000000*
L0008592 000000 000000 000000 000000*
L0008616 000001 000000 000000 000001*
L0008640 00000011 00000000 00000000 00000000*
L0008672 00000000 00000000 00000000 00000010*
L0008704 00000000 00000000 00000000 00000000*
L0008736 00000000 00000000 00000000 00000001*
L0008768 00000001 00000000 00000000 00001001*
L0008800 00000000 00000000 00000000 00000000*
L0008832 00000011 00000000 00000001 00000001*
L0008864 00000000 00000000 00000001 00000000*
L0008896 00000001 00000000 00000000 00000001*
L0008928 000000 000000 000000 000000*
L0008952 000000 000000 000000 000000*
L0008976 000000 000000 000000 000000*
L0009000 000000 000000 000000 000100*
L0009024 000000 000000 000000 000000*
L0009048 000000 000000 000000 000000*
L0009072 00100000 00000000 00000000 00000000*
L0009104 00100010 00000000 00000000 00000000*
L0009136 00100001 00000000 00000000 00000010*
L0009168 00100001 00000000 00000000 00000000*
L0009200 00100000 00000000 00000000 00000000*
L0009232 00000011 00000000 00000001 00010000*
L0009264 00000000 00000000 00000000 00000000*
L0009296 00000001 00000000 00000000 00000000*
L0009328 00000010 00000000 00000000 00000000*
L0009360 000000 000000 000000 000000*
L0009384 000000 000000 000000 001000*
L0009408 000000 000000 000000 001000*
L0009432 000000 000000 000000 000000*
L0009456 010000 000000 000000 000000*
L0009480 000000 000000 000000 000000*
L0009504 00000000 00000000 00000000 00000000*
L0009536 00000000 00000010 00000000 01100000*
L0009568 10110000 00000000 00000000 00000000*
L0009600 00000100 00000000 00000100 00010100*
L0009632 00000000 00000000 00000000 00001000*
L0009664 00000000 00000000 00000000 00000001*
L0009696 00000000 00000000 00000000 00000000*
L0009728 00000000 00000000 00000000 00000001*
L0009760 00001000 00000000 00000100 10011000*
L0009792 000000 000000 000000 000000*
L0009816 000000 000000 000000 000000*
L0009840 000100 000000 000000 110000*
L0009864 000000 000000 000000 000100*
L0009888 000001 000000 000000 000101*
L0009912 000000 000000 000000 000000*
L0009936 00000001 00000000 00000000 01101000*
L0009968 00000000 00000000 00000000 00001000*
L0010000 00000000 00000000 00000000 00001000*
L0010032 00000001 00000000 00000000 10001001*
L0010064 00001001 00000000 00000000 00000000*
L0010096 00000000 10000000 00000000 00100100*
L0010128 11110111 00000000 00000001 01100000*
L0010160 00000000 00000000 00000000 00100000*
L0010192 00000001 00000000 00000000 00000100*
L0010224 100001 000000 000000 000000*
L0010248 000000 000000 000000 111110*
L0010272 011010 000000 000000 001100*
L0010296 000000 000000 000001 000000*
L0010320 000100 000000 000000 000010*
L0010344 011110 000000 000010 100000*
L0010368 00000010 00000000 00000000 00000000*
L0010400 00000000 00000000 00000000 01100010*
L0010432 00000000 00000000 00000000 00000000*
L0010464 00000000 00000000 00000000 00010000*
L0010496 00000000 00000000 00000000 00000001*
L0010528 00000000 00000000 00000000 00100000*
L0010560 00000000 00000000 00000000 01100001*
L0010592 00000000 00000000 00000001 00000000*
L0010624 00000000 00000000 00000000 00110001*
L0010656 000000 000000 000000 000000*
L0010680 000000 000000 000000 000100*
L0010704 000000 000000 000000 110000*
L0010728 000000 000000 000000 000000*
L0010752 000000 000000 000000 000100*
L0010776 000000 000000 000000 000000*
L0010800 00001000 00000000 00000000 01101010*
L0010832 00001010 00000000 00000000 00001000*
L0010864 00000000 00000000 00000000 00001010*
L0010896 00000000 00000000 00000000 00001000*
L0010928 00000000 00000000 00000000 00001000*
L0010960 00000100 00000000 00000000 00000001*
L0010992 00000000 00000000 00000000 00000000*
L0011024 00000000 00000000 00000000 00100001*
L0011056 00000000 00000000 00000001 00000000*
L0011088 000000 000000 000000 000000*
L0011112 000100 000000 000000 111000*
L0011136 000100 000000 001000 001100*
L0011160 000100 000000 000000 000100*
L0011184 000000 000000 000000 000000*
L0011208 000100 000000 000000 000000*
L0011232 00000011 00000000 00000000 00000011*
L0011264 00000011 00000011 00000001 00000011*
L0011296 00000000 00000000 00000000 00000011*
L0011328 00000001 00000000 00000000 00000011*
L0011360 00000011 00000011 00000001 00000011*
L0011392 00000011 00000011 00000001 00000011*
L0011424 00000011 00000000 00000001 00000011*
L0011456 00000011 00000011 00000001 00000011*
L0011488 00000011 00000010 00000010 00000011*
L0011520 000000 000000 000000 000000*
L0011544 000000 000000 000000 000000*
L0011568 000000 000000 000000 000000*
L0011592 000000 000000 000000 000000*
L0011616 000000 000000 000000 000000*
L0011640 000000 000000 000000 000000*
L0011664 00000000 00000000 00000000 00000000*
L0011696 00000000 00000000 00000000 00000000*
L0011728 00000000 00000000 00000000 00000000*
L0011760 00000000 00000000 00000000 00000000*
L0011792 00000000 00000000 00000000 00000000*
L0011824 00000000 00000000 00000000 00000000*
L0011856 00000000 00000000 00000000 00000000*
L0011888 00000000 00000000 00000000 00000000*
L0011920 00000000 00000000 00000000 00000000*
L0011952 000000 000000 000000 000000*
L0011976 000000 000000 000000 000000*
L0012000 000000 000000 000000 000000*
L0012024 010000 000000 000000 000000*
L0012048 000000 000000 000000 000000*
L0012072 000000 000000 000000 000000*
L0012096 00000000 00000000 00000000 00000000*
L0012128 00000000 00000000 00000000 01100000*
L0012160 00000000 00000000 00000000 00000000*
L0012192 00000000 00000000 00000000 00010000*
L0012224 00000000 00000000 00000000 00001000*
L0012256 00000000 00000000 00000000 00000000*
L0012288 00000000 00000000 00000000 00000000*
L0012320 00000000 00000000 00000000 00000000*
L0012352 00000000 00000000 00000000 00110000*
L0012384 000000 000000 000000 000000*
L0012408 000000 000000 000000 001000*
L0012432 000000 000000 000000 111000*
L0012456 000000 000000 000000 000100*
L0012480 000000 000000 000000 000100*
L0012504 000000 000000 000000 000000*
L0012528 10000000 00000000 00000000 00000000*
L0012560 10000000 00000000 00000000 00000000*
L0012592 10000000 00000000 00000000 00000000*
L0012624 10000000 00000000 00000000 00000000*
L0012656 10000000 00000000 00000000 00000000*
L0012688 00000000 00000000 00000000 00000000*
L0012720 00000000 00000000 00000000 00000000*
L0012752 00000000 00000000 00000000 00000000*
L0012784 10000000 00000000 00000000 00000000*
L0012816 000000 000000 000000 000000*
L0012840 000000 000000 000000 000000*
L0012864 000000 000000 000000 000000*
L0012888 000000 000000 000000 000000*
L0012912 000000 000000 000000 000000*
L0012936 000000 000000 000000 000000*
L0012960 00000000 00000000 00000000 00000000*
L0012992 00000000 00000010 00000000 00000001*
L0013024 00000000 00000000 00000000 00000000*
L0013056 00000000 00000000 00000000 00000000*
L0013088 00000000 00000000 00000000 00000000*
L0013120 00000000 00000000 00000000 00000001*
L0013152 00000000 00000000 00000000 00000000*
L0013184 00000000 00000000 00000000 00000001*
L0013216 00000000 00000000 00000000 00000000*
L0013248 000000 000000 000000 000000*
L0013272 000000 000000 000000 000000*
L0013296 000000 000000 000000 000000*
L0013320 000000 000000 000000 000000*
L0013344 000000 000000 000000 000000*
L0013368 000000 000000 000000 000000*
L0013392 00000000 00000000 00000100 00000000*
L0013424 00000000 00000000 00000000 00000000*
L0013456 00000000 00000000 00000100 00000000*
L0013488 00000000 00000000 00000100 00000000*
L0013520 00000000 00000000 00000100 00000000*
L0013552 00000000 00000000 00000000 00000000*
L0013584 00000000 00000000 00000000 00000000*
L0013616 00000000 00000000 00000000 00000000*
L0013648 00000000 00000000 00000000 00000000*
L0013680 000000 000000 000000 000000*
L0013704 000000 000000 000000 000000*
L0013728 000000 000000 000000 000000*
L0013752 000000 000000 000010 000000*
L0013776 000000 000000 000010 000000*
L0013800 000000 000000 000000 000000*
L0013824 00000011 00000000 00000000 00000000*
L0013856 10110011 00000011 00000001 00000010*
L0013888 00000100 00000000 00000100 00000100*
L0013920 00000001 00000000 00000000 00000000*
L0013952 00000011 00000011 00000001 00000011*
L0013984 00000011 10000011 00000001 00000101*
L0014016 00000011 00000000 00000001 00000001*
L0014048 00001011 00000011 00000101 10001001*
L0014080 00000011 00000010 00000010 00000001*
L0014112 000000 000000 000000 000000*
L0014136 000100 000000 000000 001010*
L0014160 000000 000000 000000 001000*
L0014184 000001 000000 000000 000001*
L0014208 000000 000000 000000 000000*
L0014232 000000 000000 000000 000000*
L0014256 00000000 00000000 00000000 00010011*
L0014288 00000000 00000000 00000000 00000001*
L0014320 00000000 00000000 00000000 00000011*
L0014352 00000000 00000000 00000000 10000011*
L0014384 00001000 00000000 00000000 00000000*
L0014416 00000000 00000000 00000000 00000010*
L0014448 11110100 00000000 00000000 00000010*
L0014480 00000000 00000000 00000000 00000010*
L0014512 00000000 00000000 00000000 00000110*
L0014544 100001 000000 000000 000000*
L0014568 000000 000000 000000 000000*
L0014592 011010 000000 000000 000000*
L0014616 000000 000000 000001 000000*
L0014640 000100 000000 000000 000010*
L0014664 011110 000000 000010 100000*
L0014688 00000000 00000000 00000000 00000000*
L0014720 00000000 00000000 00000000 00000000*
L0014752 00000000 00000000 00000000 00000000*
L0014784 00000000 00000000 00000000 00000000*
L0014816 00000000 00000000 00000000 00000000*
L0014848 00000000 00000000 00000000 00000000*
L0014880 00000000 00000000 00000000 00000000*
L0014912 00000000 00000000 00000000 00000000*
L0014944 00000000 00000000 00000000 00000000*
L0014976 000000 000000 000000 000000*
L0015000 000000 000000 000000 000000*
L0015024 000000 000000 000010 000000*
L0015048 000000 000000 000000 000000*
L0015072 000000 000000 000000 000000*
L0015096 000000 000000 000000 000000*
L0015120 00000000 00000000 00000000 00000000*
L0015152 00000000 00000000 00000000 00000000*
L0015184 00000000 00000000 00000000 00000000*
L0015216 00000000 00000000 00000000 00000000*
L0015248 00000000 00000000 00000000 00000000*
L0015280 00100000 00000000 00000000 00000000*
L0015312 00000000 00000000 00000000 00000000*
L0015344 00000100 00000000 00000000 00000000*
L0015376 00000100 00000000 00000000 00000000*
L0015408 000000 000000 000000 000000*
L0015432 000000 000000 000000 000000*
L0015456 000001 000000 000000 000000*
L0015480 000001 000000 000000 000000*
L0015504 000001 000000 000000 000000*
L0015528 000001 000000 000000 000000*
L0015552 00000000 00000000 00000000 00000000*
L0015584 00000000 00000000 00000000 01100000*
L0015616 00000000 00000000 00000000 00000000*
L0015648 00000000 00000000 00000000 00010000*
L0015680 00000000 00000000 00000000 00001000*
L0015712 00000000 00000000 00000000 00000000*
L0015744 00000000 00000000 00000000 00000000*
L0015776 00000000 00000000 00000000 00000000*
L0015808 00000000 00000000 00000000 00110000*
L0015840 000000 000000 000000 000000*
L0015864 000000 000000 000000 000000*
L0015888 000000 000000 000000 110000*
L0015912 000000 000000 000000 000100*
L0015936 000000 000000 000000 000100*
L0015960 000000 000000 000000 000000*
L0015984 00000000 00000000 00000000 00000001*
L0016016 00000000 00000000 00000000 00000001*
L0016048 00000000 00000000 00000000 00000000*
L0016080 00000000 00000000 00000000 00000000*
L0016112 00000000 00000000 00000000 00000000*
L0016144 00000000 00000000 00000000 00000000*
L0016176 00000000 00000000 00000000 00000000*
L0016208 00000000 00000000 00000000 00000000*
L0016240 00000000 00000000 00000000 00000000*
L0016272 000000 000000 000000 000000*
L0016296 000000 000000 000000 001000*
L0016320 000000 000000 000000 001000*
L0016344 000000 000000 000000 000000*
L0016368 000000 000000 000000 000000*
L0016392 000000 000000 000000 000000*
L0016416 00000000 00000000 00000000 00000000*
L0016448 00000000 00000000 00000000 00000000*
L0016480 00000000 00000000 00000000 00000000*
L0016512 00000000 00000000 00000000 00000000*
L0016544 00000000 00000000 00000000 00000000*
L0016576 00000000 00000000 00000000 00000000*
L0016608 00000000 00000000 00000000 00000000*
L0016640 00000000 00000000 00000000 00000000*
L0016672 00000000 00000000 00000000 00000000*
L0016704 000000 000000 000000 000000*
L0016728 000000 000000 000000 000000*
L0016752 000000 000000 000000 000000*
L0016776 000000 000000 000000 000000*
L0016800 000000 000000 000000 000000*
L0016824 000000 000000 000000 000000*
L0016848 00000000 00000000 00000000 00000000*
L0016880 00000000 00000000 00000000 00000000*
L0016912 00000000 00000000 00000000 00000010*
L0016944 00000000 00000000 00000000 00000010*
L0016976 00000000 00000000 00000000 00000000*
L0017008 00000000 00000000 00000000 00000010*
L0017040 00000000 00000000 00000000 00000010*
L0017072 00000000 00000000 00000000 00000010*
L0017104 00000000 00000000 00000000 00000010*
L0017136 000000 000000 000000 000000*
L0017160 000000 000000 000000 000000*
L0017184 000000 000000 000000 000000*
L0017208 000000 000000 000000 000000*
L0017232 000000 000000 000000 000000*
L0017256 000000 000000 000000 000000*
L0017280 00000000 00000000 00000000 00000000*
L0017312 00000000 00000000 00000000 00000000*
L0017344 00000000 00000000 00000000 00000000*
L0017376 00000000 00000000 00000000 00000000*
L0017408 00000000 00000000 00000000 00000000*
L0017440 00000000 00000000 00000000 00000000*
L0017472 00000000 00000000 00000000 00000000*
L0017504 00000000 00000000 00000000 00100000*
L0017536 00000000 00000000 00000000 00000000*
L0017568 000000 000000 000000 000000*
L0017592 000000 000000 000000 000000*
L0017616 000000 000000 000000 000000*
L0017640 000000 000000 000000 000000*
L0017664 000000 000000 000000 000000*
L0017688 000000 000000 000000 000000*
L0017712 00000000 00000000 00000000 00000000*
L0017744 00000000 00000000 00000000 00000000*
L0017776 00000000 00000000 00000000 00000000*
L0017808 00000000 00000000 00000000 00001000*
L0017840 00000000 00000000 00000000 00000000*
L0017872 00000000 00000000 00000000 00000000*
L0017904 00000000 00000000 00000000 00000000*
L0017936 00000000 00000000 00000000 00000000*
L0017968 00000000 00000000 00000000 00000000*
L0018000 000000 000000 000000 000000*
L0018024 000000 000000 000000 000000*
L0018048 000000 000000 000000 100000*
L0018072 000000 000000 000000 000000*
L0018096 000000 000000 000000 000000*
L0018120 000000 000000 000000 000000*
L0018144 00000000 00000000 00000000 00000000*
L0018176 00000000 00000000 00000000 00000000*
L0018208 00000000 00000000 00000000 00000000*
L0018240 00000000 00000000 00000000 10000000*
L0018272 00001000 00000000 00000000 00000000*
L0018304 00000000 00000000 00000000 00000000*
L0018336 11110100 00000000 00000000 00000000*
L0018368 00000000 00000000 00000000 00000000*
L0018400 00000000 00000000 00000000 00000100*
L0018432 100001 000000 000000 000000*
L0018456 000000 000000 000000 001000*
L0018480 011010 000000 000000 001000*
L0018504 000000 000000 000001 000000*
L0018528 000100 000000 000000 000010*
L0018552 011110 000000 000010 100000*
L0018576 10111000 00000000 00000100 00000000*
L0018608 00000100 00000000 00000000 00000100*
L0018640 00000000 00000000 00000000 00000000*
L0018672 00000000 00000000 00000000 00000000*
L0018704 00000000 00000000 00000000 00000000*
L0018736 00000000 10000000 00000100 10000100*
L0018768 00001000 00000000 00000000 00001000*
L0018800 00000000 00000000 00000000 00000000*
L0018832 00000000 00000000 00000000 00000000*
L0018864 000000 000000 000000 000000*
L0018888 000000 000000 000000 000010*
L0018912 000001 000000 000000 000001*
L0018936 000000 000000 000000 000000*
L0018960 000000 000000 000000 000000*
L0018984 000000 000000 000000 000000*
L0019008 00000000 00000000 00000000 00000000*
L0019040 00000011 00000011 00000001 01100011*
L0019072 00000000 00000000 00000000 00000000*
L0019104 00000000 00000000 00000000 00010000*
L0019136 00000010 00000011 00000001 00000010*
L0019168 00000011 00000011 00000001 00000001*
L0019200 00000000 00000000 00000001 00000000*
L0019232 00000011 00000011 00000001 00000011*
L0019264 00000010 00000010 00000010 00110000*
L0019296 000000 000000 000000 000000*
L0019320 000000 000000 000000 000000*
L0019344 000000 000000 000000 111000*
L0019368 000000 000000 000000 000000*
L0019392 000000 000000 000000 000100*
L0019416 000000 000000 000000 000000*
L0019440 00000011 00000000 00000000 11101011*
L0019472 00000011 00000010 00000001 00001011*
L0019504 00000001 00000000 00000000 00001011*
L0019536 00000001 00000000 00000000 00001011*
L0019568 00000011 00000000 00000001 00000011*
L0019600 00000011 00000000 00000001 00000011*
L0019632 00000011 00000000 00000001 00000011*
L0019664 00000011 00000000 00000001 00100011*
L0019696 00000011 00000000 00000001 00000011*
L0019728 000000 000000 000000 000000*
L0019752 000000 000000 000000 111000*
L0019776 000000 000000 000000 000100*
L0019800 000000 000000 000000 000000*
L0019824 000000 000000 000000 000000*
L0019848 000000 000000 000000 000000*
L0019872 00000011 00000000 00000000 00000010*
L0019904 00000011 00000000 00000001 00000010*
L0019936 00000001 00000000 00000000 00000011*
L0019968 00000001 00000000 00000000 00000011*
L0020000 00000011 00000000 00000001 00000011*
L0020032 00000011 00000000 00000001 00000011*
L0020064 00000011 00000000 00000001 00000011*
L0020096 00000011 00000000 00000001 00000011*
L0020128 00000011 00000000 00000011 00000011*
L0020160 000000 000000 000000 000000*
L0020184 000000 000000 000000 000000*
L0020208 000000 000000 000000 000000*
L0020232 000000 000000 000000 000000*
L0020256 000000 000000 000000 000000*
L0020280 000000 000000 000000 000000*
L0020304 00000011 00000000 00000000 00000010*
L0020336 00000011 00000000 00000000 00000010*
L0020368 00000001 00000000 00000000 00000011*
L0020400 00000001 00000000 00000000 00000001*
L0020432 00000011 00000000 00000000 00000001*
L0020464 00000011 00000000 00000001 00000001*
L0020496 00000011 00000000 00000001 00000001*
L0020528 00000011 00000000 00000001 00000011*
L0020560 00000011 00000000 00000001 00000001*
L0020592 000000 000000 000000 000000*
L0020616 000000 000000 000000 000000*
L0020640 000000 000000 000000 000000*
L0020664 000000 000000 000000 000000*
L0020688 000000 000000 000000 000000*
L0020712 000000 000000 000000 000000*
L0020736 00000011 00000000 00000000 00000011*
L0020768 00000010 00000000 00000000 00000011*
L0020800 00000001 00000000 00000000 00000011*
L0020832 00000001 00000000 00000000 00000001*
L0020864 00000001 00000000 00000000 00000001*
L0020896 00000011 00000000 00000001 00100001*
L0020928 00000011 00000000 00000001 00000001*
L0020960 00000001 00000000 00000001 00000011*
L0020992 00000011 00000000 00000000 00100001*
L0021024 000000 000000 000000 000000*
L0021048 000000 000000 000000 000100*
L0021072 000000 000000 000000 000000*
L0021096 000000 000000 000000 000000*
L0021120 000000 000000 000000 000000*
L0021144 000000 000000 000000 000000*
L0021168 00000011 00000000 00000000 00000000*
L0021200 00000010 00000000 00000000 00000010*
L0021232 00000001 00000000 00000000 00000010*
L0021264 00000001 00000000 00000000 00000001*
L0021296 00000001 00000000 00000000 00000001*
L0021328 00000011 00000000 00000001 01000000*
L0021360 00000011 00000000 00000001 00000001*
L0021392 00100001 00000000 00000001 00100000*
L0021424 00000011 00000000 00000000 00000001*
L0021456 000000 000000 000000 000000*
L0021480 000000 000000 000000 000000*
L0021504 000000 000000 000000 000000*
L0021528 000000 000000 000000 000000*
L0021552 000000 000000 000000 000000*
L0021576 000000 000000 000000 000000*
L0021600 00000000 00000000 00000010 00000010*
L0021632 00000000 00000000 00000010 00000010*
L0021664 00000000 00000000 00000000 00000000*
L0021696 00000000 00000000 00000000 00000000*
L0021728 00000000 00000000 00000001 00000000*
L0021760 00000000 00000000 00000000 00000000*
L0021792 00000000 00000000 00000000 00000000*
L0021824 00000000 00000000 00000000 00000000*
L0021856 00000000 00000000 00000000 00000000*
L0021888 000000 000000 000000 000000*
L0021912 000000 000000 000000 000000*
L0021936 000000 000000 000000 000000*
L0021960 000000 000000 000000 000000*
L0021984 000000 000000 000000 000000*
L0022008 000000 000000 000000 000000*
L0022032 00010000 00000000 00000000 00000010*
L0022064 00010000 00000000 00000000 00000010*
L0022096 00010000 00000000 00000000 00000000*
L0022128 00010000 00000000 00000000 00000000*
L0022160 00010000 00000000 00000000 00000010*
L0022192 00000000 00000000 00000000 00000000*
L0022224 00000000 00000000 00000000 00000000*
L0022256 00000000 00000000 00000000 00000000*
L0022288 00000000 00000000 00000000 00000000*
L0022320 000000 000000 000000 000000*
L0022344 000000 000000 000000 000000*
L0022368 000000 000000 000000 000000*
L0022392 000000 000000 000000 000000*
L0022416 001000 000000 000000 000000*
L0022440 000000 000000 000000 000000*
L0022464 00000001 00000000 00000011 00000011*
L0022496 00000001 00000000 00000111 00000011*
L0022528 00000000 00000000 00000000 00000000*
L0022560 00000000 00000000 00000000 00000000*
L0022592 00000001 00000000 00000001 00000001*
L0022624 00000000 00000000 00000000 00000000*
L0022656 00000000 00000000 00000000 00000000*
L0022688 00000000 00000000 00000000 00000000*
L0022720 00000000 00000000 00000000 00000000*
L0022752 000000 000000 000000 000000*
L0022776 000000 000000 000000 000000*
L0022800 000000 000000 000000 000000*
L0022824 000000 000000 000000 000000*
L0022848 000000 000000 000000 000000*
L0022872 000000 000000 000000 000000*
L0022896 00000000 00000000 00000000 00000010*
L0022928 00000000 00000000 00000000 00000111*
L0022960 00000000 00000000 00000000 00000100*
L0022992 00000000 00000000 00000000 00000100*
L0023024 00000000 00000000 00000000 00000110*
L0023056 01000000 00000000 00000000 00000000*
L0023088 00000000 00000000 00000000 00000100*
L0023120 00000000 00000000 00000000 00000100*
L0023152 00000000 00000000 00000000 00000000*
L0023184 000000 000000 000000 000000*
L0023208 000000 000000 000000 000000*
L0023232 000000 000000 000000 000000*
L0023256 000000 000000 000000 000000*
L0023280 000000 000000 000000 000000*
L0023304 000000 000000 000000 000000*
L0023328 00000000 00000000 00000000 00000000*
L0023360 00000000 00000000 00000000 00000000*
L0023392 00000000 00000000 00000000 00000010*
L0023424 00000000 00000000 00000000 00000000*
L0023456 00000001 00000000 00000001 00000000*
L0023488 00000000 00000000 00000000 00000000*
L0023520 00000000 00000000 00000000 00000000*
L0023552 00000000 00000000 00000000 00000000*
L0023584 00000000 00000000 00000000 00000000*
L0023616 000000 000000 000000 000000*
L0023640 000000 000000 000000 000000*
L0023664 000000 000000 000000 000000*
L0023688 000000 000000 000000 000000*
L0023712 000000 000000 000000 000000*
L0023736 000000 000000 000000 000000*
L0023760 00000000 00000000 00000000 00000011*
L0023792 00000000 00000000 00000000 00000010*

 
L0023824 00000010 00000000 00000000 00000000*
L0023856 00000000 00000000 00000000 00000000*
L0023888 00000000 00000000 00000000 00000010*
L0023920 00000000 00000000 00000000 00000000*
L0023952 00000000 00000000 00000000 00000000*
L0023984 00000000 00000000 00000000 00000000*
L0024016 00000000 00000000 00000000 00000000*
L0024048 000000 000000 000000 000000*
L0024072 000000 000000 000010 000000*
L0024096 000000 000000 000000 000000*
L0024120 000000 000000 000000 000000*
L0024144 000000 000000 000000 000000*
L0024168 000000 000000 000000 000000*
L0024192 00000011 00000001 00000001 00000011*
L0024224 00000011 00000001 00000001 00000011*
L0024256 00000000 00000000 00000000 00000000*
L0024288 00000000 00000000 00000000 00000000*
L0024320 00000001 00000001 00000011 00000001*
L0024352 00000000 00000000 00000000 00000000*
L0024384 00000000 00000000 00000000 00000000*
L0024416 00000000 00000000 00000000 00000000*
L0024448 00000000 00000000 00000000 00000000*
L0024480 000000 000000 000000 000000*
L0024504 000000 000000 000000 000000*
L0024528 000000 000000 000000 000000*
L0024552 000000 000000 000000 000000*
L0024576 000000 000000 000000 000000*
L0024600 000000 000000 000000 000000*
L0024624 00000000 00000000 00000000 00000000*
L0024656 00000001 00000000 00000000 00000000*
L0024688 00000000 00000000 00000000 00000000*
L0024720 00000000 00000000 00000000 00000000*
L0024752 00000000 00000000 00000000 00000000*
L0024784 00000000 00000000 00000000 00000000*
L0024816 00000000 00000000 00000000 00000000*
L0024848 00000000 00000000 00000000 00000000*
L0024880 00000000 00000000 00000000 00000000*
L0024912 000000 000000 000000 000000*
L0024936 000000 000000 000000 000000*
L0024960 000000 000000 000000 000000*
L0024984 000000 000000 000000 000000*
L0025008 000000 000000 000000 000000*
L0025032 000000 000000 000000 000000*
L0025056 00000000 00000000 00000000 00000010*
L0025088 00000000 00000000 00000000 00000000*
L0025120 00000000 00000000 00000000 00000000*
L0025152 00000000 00000000 00000000 00000000*
L0025184 00000000 00000000 00000000 00000000*
L0025216 00000000 00000000 00000000 00000000*
L0025248 00000000 00000000 00000100 00000000*
L0025280 00000000 00000000 00000000 00000000*
L0025312 00000000 00000000 00000000 00000000*
L0025344 000000 000000 000000 000000*
L0025368 000000 000000 000000 000000*
L0025392 000000 000000 000000 000000*
L0025416 000000 000000 000000 000000*
L0025440 000000 000000 000000 000000*
L0025464 000000 000000 000000 000000*
L0025488 00000010 00000000 00000010 00000010*
L0025520 00000010 00000000 00000010 00000010*
L0025552 00000000 00000000 00000000 00000000*
L0025584 00000000 00000000 00000000 00000000*
L0025616 00000011 00000000 00000011 00000010*
L0025648 00000000 00000000 00000000 00000000*
L0025680 00000000 00000000 00000000 00001000*
L0025712 00000000 00000000 00000000 00001000*
L0025744 00000000 00000000 00000000 00001000*
L0025776 000000 000000 000000 000010*
L0025800 010000 000000 000000 000000*
L0025824 000000 000000 000000 000010*
L0025848 000000 000000 000000 000010*
L0025872 000000 000000 000000 000000*
L0025896 000000 000000 000000 000000*
L0025920 00000010 00000000 00000000 00000011*
L0025952 00000000 00000000 00000000 01100001*
L0025984 00000000 00000000 00000000 00000000*
L0026016 00000000 00000000 00000000 00010000*
L0026048 00000010 00000000 00000000 00001001*
L0026080 00000000 00000000 00000000 00000000*
L0026112 00000000 00000000 00000000 00000000*
L0026144 00000000 00000000 00000000 00000000*
L0026176 00000000 00000000 00000000 00110000*
L0026208 000000 000000 000000 000000*
L0026232 000000 000000 000000 000000*
L0026256 000000 000000 000000 110000*
L0026280 000000 000000 000000 000100*
L0026304 000000 000000 000000 000100*
L0026328 000000 000000 000000 000000*
L0026352 00000011 00000010 00000011 00000010*
L0026384 00000010 00000010 00000010 00000010*
L0026416 00000000 00000000 00000000 10000000*
L0026448 00000000 00000000 00000000 10000000*
L0026480 00000010 00000010 00000010 00000010*
L0026512 00000000 00000000 00000000 00000000*
L0026544 00000000 00000000 00000000 00000000*
L0026576 00000000 00000000 00000000 00000000*
L0026608 00000000 00000000 00000000 00000000*
L0026640 000000 000000 000000 000000*
L0026664 000000 000000 000000 001000*
L0026688 000000 000000 000000 001000*
L0026712 000000 000000 000000 000000*
L0026736 000000 000000 000000 100000*
L0026760 000000 000000 000000 100000*
L0026784 00000001 00000000 00000000 00000011*
L0026816 00000001 00000000 00000000 00000011*
L0026848 00000000 00000000 00000000 00000000*
L0026880 00000000 00000000 00000000 00000000*
L0026912 00000010 00000000 00000010 00000011*
L0026944 00000000 00000000 00000000 00000000*
L0026976 00000000 00000000 00010000 00000000*
L0027008 00000000 00000000 00000000 00000000*
L0027040 00000000 00000000 00000000 00000000*
L0027072 000000 000000 000000 000000*
L0027096 000000 000000 000000 000000*
L0027120 000000 000000 000000 000000*
L0027144 000000 000000 000000 000000*
L0027168 000000 000000 000000 000000*
L0027192 000000 000000 000000 000000*
L0027216 00000010 00000000 00000000 00000000*
L0027248 00000010 00000000 00000000 00000000*
L0027280 00001000 00000000 00000000 00000000*
L0027312 00001000 00000000 00000000 00000000*
L0027344 00000000 00000000 00000001 00000000*
L0027376 00010000 00000000 00000000 00000000*
L0027408 00001000 00000000 00000000 00000000*
L0027440 00001000 00000000 00000000 00000000*
L0027472 00001000 00000000 00000000 00000000*
L0027504 000010 000000 000000 000000*
L0027528 000000 000000 000000 000000*
L0027552 000000 000000 000000 000000*
L0027576 000000 000000 000000 000000*
L0027600 000000 000000 000000 000000*
L0027624 000000 000000 000000 000000*
L0027648 00000011 00000000 00000001 00000011*
L0027680 00000001 00000000 00000001 01100001*
L0027712 00000000 00000000 00000000 00000000*
L0027744 00000000 00000000 00000000 00010000*
L0027776 00000011 00000000 00000001 00001001*
L0027808 00000000 00000000 00000000 00000000*
L0027840 00000000 00000000 00000000 00000000*
L0027872 00000000 00000000 00000000 00000000*
L0027904 00000000 00000000 00000000 00110000*
L0027936 000000 000000 000000 000000*
L0027960 000000 000000 000000 000000*
L0027984 000000 000000 000000 110000*
L0028008 000000 000000 000000 000100*
L0028032 000000 000000 000000 000100*
L0028056 000000 000000 000000 000000*
L0028080 00000000 00000000 00000010 00000000*
L0028112 00000000 00000000 00000000 00000001*
L0028144 00000000 00000000 00000000 00000000*
L0028176 00000000 00000000 00000000 00000000*
L0028208 00000000 00000000 00000010 00000000*
L0028240 00000000 00000000 00000000 00000000*
L0028272 00000000 00000000 00000000 00000000*
L0028304 00000000 00000000 00000000 00000000*
L0028336 00000000 00000000 00000000 00000000*
L0028368 000000 000000 000000 000000*
L0028392 000000 000000 000000 001000*
L0028416 000000 000000 000000 001000*
L0028440 000000 000000 000000 000000*
L0028464 000000 000000 000000 000000*
L0028488 000000 000000 000000 000000*
L0028512 00000100 00000000 00000000 00000100*
L0028544 00000000 00000000 00000000 00000000*
L0028576 00000010 00000010 00000010 00000010*
L0028608 00000000 00000000 00000000 00000000*
L0028640 00000000 00000000 00000000 00000000*
L0028672 00001000 00000000 00000000 00001000*
L0028704 00000000 00000000 00000000 00000000*
L0028736 00000000 00000000 00000000 00000000*
L0028768 00000000 00000000 00000000 00000000*
L0028800 000000 000000 000000 000000*
L0028824 000001 000000 000000 001001*
L0028848 000000 000000 000000 000000*
L0028872 000000 000000 000000 000000*
L0028896 000000 000000 000000 000000*
L0028920 000000 000000 000000 000000*
L0028944 10111000 00000000 00000101 01101000*
L0028976 10111000 00000000 00000000 00001000*
L0029008 10110001 00000000 00000100 00001001*
L0029040 10110000 00000000 00000100 00001000*
L0029072 10110010 00000000 00000111 00000000*
L0029104 00000000 10000000 00000100 10000100*
L0029136 11110100 00000000 00000000 00000000*
L0029168 00000000 00000000 00000100 10100000*
L0029200 10000000 00000000 00000100 10000000*
L0029232 100000 000000 000001 100000*
L0029256 000100 000000 000001 110010*
L0029280 011110 000000 000001 001100*
L0029304 000100 000000 000011 100000*
L0029328 011100 000000 000010 100000*
L0029352 011100 000000 000010 100000*
L0029376 00000000 00000000 00000000 00000000*
L0029408 00000000 00000000 00000000 00000010*
L0029440 00000000 00000000 00000000 00000000*
L0029472 00000000 00000000 00000000 00000000*
L0029504 00000000 00000000 00000000 00000000*
L0029536 00000000 00000000 00000000 00000000*
L0029568 00000000 00000000 00000000 00000000*
L0029600 00000000 00000000 00000000 00000000*
L0029632 00000000 00000000 00000000 00000000*
L0029664 000000 000000 000000 000000*
L0029688 000000 000000 000000 000000*
L0029712 000000 000000 000000 000000*
L0029736 000000 000000 000000 000000*
L0029760 000000 000000 000000 000000*
L0029784 000000 000000 000000 000000*
L0029808 00000001 00000000 00000000 00000000*
L0029840 00000001 00000000 00000000 00000000*
L0029872 00000000 00000000 00000000 00000000*
L0029904 00000000 00000000 00000000 00000000*
L0029936 00000000 00000000 00000000 00000000*
L0029968 00000000 00000000 00000000 00000000*
L0030000 00000000 00000000 00000000 00000000*
L0030032 10000000 00000000 00000000 00000000*
L0030064 00000000 00000000 00000000 00000000*
L0030096 000000 000000 000000 000000*
L0030120 000000 000000 000000 000000*
L0030144 000000 000000 000000 000000*
L0030168 000000 000000 000000 000000*
L0030192 000000 000000 000000 000000*
L0030216 000000 000000 000000 000000*
L0030240 00000000 00000000 00000000 00000010*
L0030272 00000000 00000000 00000000 00000011*
L0030304 00000000 00000000 00000000 00000000*
L0030336 00000000 00000000 00000000 00000000*
L0030368 00000000 00000000 00000000 00000010*
L0030400 00000000 00000000 00000000 00000000*
L0030432 00000000 00000000 00000000 00000000*
L0030464 00000000 00000000 00000000 00000000*
L0030496 00000000 00000000 00000000 00000000*
L0030528 000000 000000 000000 000000*
L0030552 000000 000000 000000 000000*
L0030576 000000 000000 000000 000000*
L0030600 000000 000000 000000 000000*
L0030624 000000 000000 000000 000000*
L0030648 000000 000000 000000 000000*
L0030672 00000001 00000000 00000000 00000001*
L0030704 00000001 00000000 00000000 00000001*
L0030736 00000010 00000010 00000010 00000010*
L0030768 00000000 00000000 00000000 00000000*
L0030800 00000000 00000000 00000000 00000000*
L0030832 00000000 00000000 00000000 00000000*
L0030864 00000000 00000000 00000000 00000000*
L0030896 00000000 00000000 00000000 00000000*
L0030928 00000000 00000000 00000000 00000000*
L0030960 000000 000000 000000 000000*
L0030984 000000 000000 000000 000000*
L0031008 000000 000000 000000 000000*
L0031032 000000 000000 000000 000000*
L0031056 000000 000000 000000 000000*
L0031080 000000 000000 000000 000000*
L0031104 00000000 00000000 00000000 00000000*
L0031136 00000000 00000000 00000000 00000000*
L0031168 00000000 00000000 00000000 00000010*
L0031200 00000000 00000000 00000000 00000000*
L0031232 00000000 00000000 00000000 00000000*
L0031264 00000000 00000000 00000000 00000000*
L0031296 00000000 00000000 00001000 00000000*
L0031328 00000000 00000000 00000000 00000000*
L0031360 00000000 00000000 00000000 00000000*
L0031392 000000 000000 000000 000000*
L0031416 000000 000000 000000 000000*
L0031440 000000 000000 000000 000000*
L0031464 000000 000000 000000 000000*
L0031488 000000 000000 000000 000000*
L0031512 000000 000000 000000 000000*
L0031536 00000000 00000000 00000000 00000000*
L0031568 00000100 00000000 00000000 00000001*
L0031600 00000100 00000000 00000000 00000000*
L0031632 00000100 00000000 00000000 00000000*
L0031664 00000100 00000000 00000000 00000000*
L0031696 00000000 00000000 00000000 00000000*
L0031728 00000000 00000000 00000000 00000000*
L0031760 00000000 00000000 00000000 00000000*
L0031792 00000000 00000000 00000000 00000000*
L0031824 000000 000000 000000 000000*
L0031848 001000 000000 000000 000000*
L0031872 000000 000000 000000 000000*
L0031896 000010 000000 000000 000000*
L0031920 000010 000000 000000 000000*
L0031944 000000 000000 000000 000000*
L0031968 00000011 00000000 00000000 00000011*
L0032000 00000011 00000000 00000000 00000011*
L0032032 00000000 00000000 00000000 00000000*
L0032064 00000000 00000000 00000000 00000000*
L0032096 00000000 00000000 00000000 00000010*
L0032128 00000000 00000000 00000000 00000000*
L0032160 00000000 00000000 00000000 00000000*
L0032192 00000000 00000000 00000000 00000000*
L0032224 00000000 00000000 00000000 00000000*
L0032256 000000 000000 000000 000000*
L0032280 000000 000000 000000 000000*
L0032304 000000 000000 000000 000000*
L0032328 000000 000000 000000 000000*
L0032352 000000 000000 000000 000000*
L0032376 000000 000000 000000 000000*
L0032400 00000010 00000000 00000000 00000000*
L0032432 00000000 00000000 00000000 01100000*
L0032464 00000000 00000000 00000000 00000000*
L0032496 00000000 00000000 00000000 00010000*
L0032528 00000010 00000000 00000000 00000000*
L0032560 00000000 00000000 00000000 00000000*
L0032592 00000000 00000000 00000000 00000000*
L0032624 00000000 00000000 00000000 00000000*
L0032656 00000000 00000000 00000000 00110000*
L0032688 000000 000000 000000 000000*
L0032712 000000 000000 000000 000000*
L0032736 000000 000000 000000 110000*
L0032760 000000 000000 000000 000000*
L0032784 000000 000000 000000 000100*
L0032808 000000 000000 000000 000000*
L0032832 00000011 00000000 00000010 00000011*
L0032864 00000011 00000000 00000010 00000011*
L0032896 00000000 00000000 00000000 00000000*
L0032928 00000000 00000000 00000000 00000000*
L0032960 00000011 00000000 00000010 00000011*
L0032992 00000000 00000000 00000000 00000000*
L0033024 00000000 00000000 00000000 00000000*
L0033056 00000000 00000000 00000000 00000000*
L0033088 00000000 00000000 00000000 00000000*
L0033120 000000 000000 000000 000000*
L0033144 000000 000000 000000 000000*
L0033168 000000 000000 000000 000000*
L0033192 000000 000000 000000 000000*
L0033216 000000 000000 000000 000000*
L0033240 000000 000000 000000 000000*
L0033264 00000000 00000000 00000000 00000000*
L0033296 00000000 00000000 00000000 00000000*
L0033328 00000000 00000000 00000000 00000000*
L0033360 00000000 00000000 00000000 00000000*
L0033392 00000000 00000000 00000000 00000000*
L0033424 00000000 00000000 00000000 00000000*
L0033456 00000000 00000000 00000000 00000000*
L0033488 00000000 00000000 00000000 00000000*
L0033520 00000000 00000000 00000000 00000000*
L0033552 000000 000000 000000 000000*
L0033576 000010 000000 000000 000000*
L0033600 000000 000000 001000 000000*
L0033624 000000 000000 000000 000000*
L0033648 000000 000000 000000 000000*
L0033672 000000 000000 000000 000000*
L0033696 00000010 00000010 00000010 00000010*
L0033728 00000010 00000000 00000010 00000010*
L0033760 00000000 00000000 00000000 00000000*
L0033792 00000000 00000000 00000000 00000000*
L0033824 00000000 00000000 00000000 00000000*
L0033856 00000000 00000000 00000000 00000000*
L0033888 00000010 00000010 00000010 00000010*
L0033920 00000000 00000000 00000000 00100000*
L0033952 00000000 00000000 00000000 00000000*
L0033984 000000 000000 000000 000000*
L0034008 000000 000000 000000 000000*
L0034032 000000 000000 000000 000000*
L0034056 000000 000000 000000 000000*
L0034080 000000 000000 000000 000000*
L0034104 000000 000000 000000 000000*
L0034128 00000000 00000000 00000000 01001000*
L0034160 00000000 00000000 00000000 00100000*
L0034192 00000000 00000000 00000000 00000000*
L0034224 00000000 00000000 00000000 00000000*
L0034256 00000000 00000000 00000000 00000000*
L0034288 00000000 00000000 00000000 00000000*
L0034320 00000000 00000000 00000000 00000000*
L0034352 01000000 00000000 00000000 00000000*
L0034384 00000000 00000000 00000000 00000000*
L0034416 000000 000000 000000 000000*
L0034440 000000 000000 000000 110000*
L0034464 000000 000000 000000 000000*
L0034488 000000 000000 000000 000000*
L0034512 000000 000000 000000 000000*
L0034536 000000 000000 000000 000000*
L0034560 00000000 00000000 00000000 00000000*
L0034592 00000000 00000000 00000000 00000000*
L0034624 00000000 00000000 00000000 00000000*
L0034656 00000000 00000000 00000000 00000000*
L0034688 00000000 00000000 00000000 00000000*
L0034720 00000000 00000000 00000000 00000000*
L0034752 00000000 00000000 00000000 00000000*
L0034784 00000000 00000000 00000000 00000000*
L0034816 00000000 00000000 00000000 00000000*
L0034848 000000 000000 000000 000000*
L0034872 000000 000000 000000 000000*
L0034896 000000 000000 000000 000000*
L0034920 000000 000000 000000 000000*
L0034944 000000 000000 000000 000000*
L0034968 000000 000000 000000 000000*
L0034992 00000000 00000000 00000000 00000000*
L0035024 00000000 00000000 00000000 00000000*
L0035056 00000000 00000000 00000000 00000000*
L0035088 00000000 00000000 00000000 00000000*
L0035120 00000000 00000000 00000000 00000000*
L0035152 00000000 00000000 00001000 00000000*
L0035184 00000000 00000000 00000000 00000000*
L0035216 00000000 00000000 00000000 00000000*
L0035248 00000000 00000000 00000000 00000000*
L0035280 000000 000000 000000 000000*
L0035304 000000 000000 000000 000000*
L0035328 000000 000000 000000 000000*
L0035352 000000 000000 000000 000000*
L0035376 000000 000000 000000 000000*
L0035400 000000 000000 000000 000000*
L0035424 00000000 00000000 00000000 00000000*
L0035456 00001000 00000000 00000000 10000000*
L0035488 00001000 00000000 00000000 00000000*
L0035520 00000000 00000000 00000000 00000000*
L0035552 00000000 00000000 00000000 00000000*
L0035584 00000000 00000000 00000000 00000000*
L0035616 00000000 00000000 00000000 00000100*
L0035648 11110100 00000000 00000000 00000000*
L0035680 10000000 00000000 00000000 00000000*
L0035712 100000 000000 000000 000000*
L0035736 000000 000000 000000 001000*
L0035760 000000 000000 000001 001010*
L0035784 011010 000000 000001 000000*
L0035808 011000 000000 000010 100000*
L0035832 011000 000000 000010 100000*
L0035856 00000000 00000000 00000000 00000000*
L0035888 00000000 00000000 00000000 00000000*
L0035920 00000000 00000000 00000000 10000000*
L0035952 00001000 00000000 00000000 10000000*
L0035984 10111000 00000000 00000000 00000000*
L0036016 11110100 00000000 00000000 00000000*
L0036048 00000000 00000000 00000000 00000000*
L0036080 00000000 00000000 00000000 00000100*
L0036112 00000100 00000000 00000000 00000100*
L0036144 000001 000000 000000 000000*
L0036168 011010 000000 000001 000000*
L0036192 000000 000000 000000 000000*
L0036216 000000 000000 000010 100010*
L0036240 000110 000000 000000 000010*
L0036264 000110 000000 000000 000000*
L0036288 00000000 00000000 00000000 00000000*
L0036320 00000000 00000000 00000000 01100000*
L0036352 00000000 00000000 00000000 00000000*
L0036384 00000000 00000000 00000000 00010000*
L0036416 00000000 00000000 00000000 00001000*
L0036448 00000000 00000000 00000000 00000000*
L0036480 00000000 00000000 00000000 00000000*
L0036512 00000000 00000000 00000000 00000000*
L0036544 00000000 00000000 00000000 00110000*
L0036576 000000 000000 000000 000000*
L0036600 000000 000000 000000 001000*
L0036624 000000 000000 000000 111000*
L0036648 000000 000000 000000 000100*
L0036672 000000 000000 000000 000100*
L0036696 000000 000000 000000 000000*
L0036720 00000000 00000000 00000000 00000000*
L0036752 00000000 00000000 00000000 00000000*
L0036784 00000000 00000000 00000000 00000000*
L0036816 00000000 00000000 00000000 00000000*
L0036848 00000000 00000000 00000000 00000000*
L0036880 00000000 00000000 00000000 00000000*
L0036912 00000000 00000000 00000000 00000000*
L0036944 00000000 00000000 00000000 00000000*
L0036976 00000000 00000000 00000000 00000000*
L0037008 000000 000000 000000 000000*
L0037032 000000 000000 000000 000000*
L0037056 000000 000000 000000 000000*
L0037080 000000 000000 000000 000000*
L0037104 000000 000000 000000 000000*
L0037128 000000 000000 000000 000000*
L0037152 00000000 00000000 00000000 00000000*
L0037184 00000000 00000000 00000000 00000000*
L0037216 00000000 00000000 00000000 00000000*
L0037248 00000000 00000000 00000000 00000000*
L0037280 00000000 00000000 00000000 00000000*
L0037312 00000000 00000000 00000000 00000000*
L0037344 00000000 00000000 00000000 00000000*
L0037376 00000000 00000000 00000000 00000000*
L0037408 00000000 00000000 00000000 00000000*
L0037440 000000 000000 000000 000000*
L0037464 000000 000000 000000 000000*
L0037488 000000 000000 000000 000000*
L0037512 000000 000000 000000 000000*
L0037536 000000 000000 000000 000000*
L0037560 000000 000000 000000 000000*
L0037584 00000000 00000000 00000000 00000000*
L0037616 00000000 00000000 00000000 00000000*
L0037648 00000000 00000000 00000000 00000000*
L0037680 00000000 00000000 00000000 00000000*
L0037712 00000000 00000000 00000000 00000000*
L0037744 00000000 00000000 00000000 00000000*
L0037776 00000000 00000000 00000000 00000000*
L0037808 00000000 00000000 00000000 00000000*
L0037840 00000000 00000000 00000000 00000000*
L0037872 000000 000000 000000 000000*
L0037896 000000 000000 000000 000000*
L0037920 000000 000000 000000 000000*
L0037944 000000 000000 000000 000000*
L0037968 000000 000000 000000 000000*
L0037992 000000 000000 000000 000000*
L0038016 00000000 00000000 00000000 00000000*
L0038048 00000000 00000000 00000000 01100000*
L0038080 00000000 00000000 00000000 00000000*
L0038112 00000000 00000000 00000000 00010000*
L0038144 00000000 00000000 00000000 00000000*
L0038176 00000000 00000000 00000000 00000000*
L0038208 00000000 00000000 00000000 00000000*
L0038240 00000000 00000000 00000000 00000000*
L0038272 00000000 00000000 00000000 00110000*
L0038304 000000 000000 000000 000000*
L0038328 000000 000000 000000 001000*
L0038352 000000 000000 000000 111000*
L0038376 000000 000000 000000 000000*
L0038400 000000 000000 000000 000100*
L0038424 000000 000000 000000 000000*
L0038448 00000000 00000000 00000000 00000000*
L0038480 00000000 00000000 00000000 00000000*
L0038512 00000000 00000000 00000000 00000000*
L0038544 00000000 00000000 00000000 00000000*
L0038576 00000000 00000000 00000000 00000000*
L0038608 00000000 00000000 00000100 00000000*
L0038640 00000000 00000000 00000000 00000000*
L0038672 00000000 00000000 00000100 00000000*
L0038704 00000000 00000000 00000100 00000000*
L0038736 000000 000000 000001 000000*
L0038760 000000 000000 000001 000000*
L0038784 000000 000000 000001 000000*
L0038808 000000 000000 000000 000000*
L0038832 000100 000000 000000 000000*
L0038856 000100 000000 000000 000000*
L0038880 00000000 00000000 00000000 00000000*
L0038912 00000000 00000000 00000000 00000000*
L0038944 00000000 00000000 00000000 00000000*
L0038976 00000000 00000000 00000000 00000000*
L0039008 00000000 00000000 00000000 00000000*
L0039040 00000000 00000000 00000000 00000000*
L0039072 00000000 00000000 00000000 00000000*
L0039104 00000000 00000000 00000000 00000000*
L0039136 00000000 00000000 00000000 00000000*
L0039168 000000 000000 000000 000000*
L0039192 000000 000000 000000 000000*
L0039216 000000 000000 000000 000000*
L0039240 000000 000000 000000 000000*
L0039264 000000 000000 000000 000000*
L0039288 000000 000000 000000 000000*
L0039312 00000000 00000000 00000000 00000000*
L0039344 00000000 00000000 00000000 00000000*
L0039376 00000000 00000000 00000000 00000000*
L0039408 00000000 00000000 00000000 00000000*
L0039440 00000000 00000000 00000000 00000000*
L0039472 00000000 00000000 00000000 00000000*
L0039504 00000000 00000000 00000000 00000000*
L0039536 00000000 00000000 00000000 00000000*
L0039568 00000000 00000000 00000000 00000000*
L0039600 000000 000000 000000 000000*
L0039624 000000 000000 000000 000000*
L0039648 000000 000000 000000 000000*
L0039672 000000 000000 000000 000000*
L0039696 000000 000000 000000 000000*
L0039720 000000 000000 000000 000000*
L0039744 00000000 00000000 00000000 00000000*
L0039776 00000000 00000000 00000000 00000000*
L0039808 00000000 00000000 00000000 00000000*
L0039840 00000000 00000000 00000000 00000000*
L0039872 00000000 00000000 00000000 00000000*
L0039904 00000000 00000000 00000000 00000000*
L0039936 00000000 00000000 00000000 00000000*
L0039968 00000000 00000000 00000000 00000000*
L0040000 00000000 00000000 00000000 00000000*
L0040032 000000 000000 000000 000000*
L0040056 000000 000000 000000 000000*
L0040080 000000 000000 000000 000000*
L0040104 000000 000000 000000 000000*
L0040128 000000 000000 000000 000000*
L0040152 000000 000000 000000 000000*
L0040176 00000000 00000000 00000000 00000000*
L0040208 00000000 00000000 00000000 00000000*
L0040240 00000000 00000000 00000000 00000000*
L0040272 00000000 00000000 00000000 00000000*
L0040304 00000000 00000000 00000000 00000000*
L0040336 00000000 00000000 00000000 00000000*
L0040368 00000000 00000000 00000000 00000000*
L0040400 00000000 00000000 00000000 00000000*
L0040432 00000000 00000000 00000000 00000000*
L0040464 000000 000000 000000 000000*
L0040488 000000 000000 000000 000000*
L0040512 000000 000000 000000 000000*
L0040536 001000 000000 000000 000000*
L0040560 000000 000000 000000 000000*
L0040584 000000 000000 000000 000000*
L0040608 00000000 00000000 00000000 00000000*
L0040640 00000000 00000000 00000000 01100000*
L0040672 00000000 00000000 00000000 00000000*
L0040704 00000000 00000000 00000000 00010000*
L0040736 00000000 00000000 00000000 00001000*
L0040768 00000000 00000000 00000000 00000000*
L0040800 00000000 00000000 00000000 00000000*
L0040832 00000000 00000000 00000000 00000000*
L0040864 00000000 00000000 00000000 00110000*
L0040896 000000 000000 000000 000000*
L0040920 000000 000000 000000 001000*
L0040944 000000 000000 000000 111000*
L0040968 000000 000000 000000 000100*
L0040992 000000 000000 000000 000100*
L0041016 000000 000000 000000 000000*
L0041040 00000000 00000000 00000000 00000000*
L0041072 00000000 00000000 00000000 00000000*
L0041104 00000000 00000000 00000000 00000000*
L0041136 00000000 00000000 00000000 00000000*
L0041168 00000000 00000000 00000000 00000000*
L0041200 00000000 00000000 00000000 00000000*
L0041232 00000000 00000000 00000000 00000000*
L0041264 00000000 00000000 00000000 00000000*
L0041296 00000000 00000000 00000000 00000000*
L0041328 000000 000000 000000 000000*
L0041352 000000 000000 000000 000000*
L0041376 000000 000000 000000 000000*
L0041400 000000 000000 000000 000000*
L0041424 000000 000000 000000 000000*
L0041448 000000 000000 000000 000000*
L0041472 00000000 00000000 00000000 00000000*
L0041504 00000000 00000000 00000000 01100000*
L0041536 00000000 00000000 00000000 00000000*
L0041568 00000000 00000000 00000000 00010000*
L0041600 00000000 00000000 00000000 00000000*
L0041632 00000000 00000000 00000000 00000000*
L0041664 00000000 00000000 00000000 00000000*
L0041696 00000000 00000000 00000000 00000000*
L0041728 00000000 00000000 00000000 00110000*
L0041760 000000 000000 000000 000000*
L0041784 000000 000000 000000 001000*
L0041808 000000 000000 000000 111000*
L0041832 000000 000000 000000 000000*
L0041856 000000 000000 000000 000100*
L0041880 000000 000000 000000 000000*
L0041904 00000000 00000000 00000000 00000000*
L0041936 00000000 00000000 00000000 00000000*
L0041968 00000000 00000000 00000000 00000000*
L0042000 00001000 00000000 00000000 00000000*
L0042032 00001000 00000000 00000000 00000000*
L0042064 00000000 00000000 00000000 00000000*
L0042096 00000000 00000000 00000000 00000000*
L0042128 00000000 00000000 00000000 00000000*
L0042160 00000000 00000000 00000000 00000000*
L0042192 000000 000000 000000 000000*
L0042216 000000 000000 000000 000000*
L0042240 000000 000000 000000 000000*
L0042264 000000 000000 000000 000000*
L0042288 010000 000000 000000 000000*
L0042312 010000 000000 000000 000000*
L0042336 00000000 00000000 00000000 00000000*
L0042368 00000000 00000000 00000000 00000000*
L0042400 00000000 00000000 00000000 00000000*
L0042432 00000000 00000000 00000000 00000000*
L0042464 00000000 00000000 00000000 00000000*
L0042496 00000000 00000000 00000000 00000000*
L0042528 00000000 00000000 00000000 00000000*
L0042560 00000000 00000000 00000000 00000000*
L0042592 00000000 00000000 00000000 00000000*
L0042624 000000 000000 000000 000000*
L0042648 000000 000000 000000 000000*
L0042672 000000 000000 000000 000000*
L0042696 000000 000000 000000 000000*
L0042720 000000 000000 000000 000000*
L0042744 000000 000000 000000 000000*
L0042768 00000000 00000000 00000000 00000000*
L0042800 00000000 00000000 00000000 00000000*
L0042832 00000000 00000000 00000000 00000000*
L0042864 00000000 00000000 00000000 00000000*
L0042896 00000000 00000000 00000000 00000000*
L0042928 00000000 00000000 00000000 00000000*
L0042960 00000000 00000000 00000000 00000000*
L0042992 00000000 00000000 00000000 00000000*
L0043024 00000000 00000000 00000000 00000000*
L0043056 000000 000000 000000 000000*
L0043080 000000 000000 000000 000000*
L0043104 000000 000000 000000 000000*
L0043128 000000 000000 000000 000000*
L0043152 000000 000000 000000 000000*
L0043176 000000 000000 000000 000000*
L0043200 00000000 00000000 00000000 00000000*
L0043232 00000000 00000000 00000000 01100000*
L0043264 00000000 00000000 00000000 00000000*
L0043296 00000000 00000000 00000000 00010000*
L0043328 00000000 00000000 00000000 00001000*
L0043360 00000000 00000000 00000000 00000000*
L0043392 00000000 00000000 00000000 00000000*
L0043424 00000000 00000000 00000000 00000000*
L0043456 00000000 00000000 00000000 00110000*
L0043488 000000 000000 000000 000000*
L0043512 000000 000000 000000 001000*
L0043536 000000 000000 000000 111000*
L0043560 000000 000000 000000 000100*
L0043584 000000 000000 000000 000100*
L0043608 000000 000000 000000 000000*
L0043632 00000000 00000000 00000000 00000000*
L0043664 00000000 00000000 00000000 00000000*
L0043696 00000000 00000000 00000000 00000000*
L0043728 00000000 00000000 00000000 00000000*
L0043760 00000000 00000000 00000000 00000000*
L0043792 00000000 00000000 00000000 00000000*
L0043824 00000000 00000000 00000000 00000000*
L0043856 00000000 00000000 00000000 00000000*
L0043888 00000000 00000000 00000000 00000000*
L0043920 000000 000000 000000 000000*
L0043944 000000 000000 000000 000000*
L0043968 000000 000000 000000 000000*
L0043992 000000 000000 000000 000000*
L0044016 000000 000000 000000 000000*
L0044040 000000 000000 000000 000000*
L0044064 00000000 00000000 00000000 00000000*
L0044096 00000000 00000000 00000000 01100000*
L0044128 00000000 00000000 00000000 00000000*
L0044160 00000000 00000000 00000000 00010000*
L0044192 00000000 00000000 00000000 00000000*
L0044224 00000000 00000000 00000000 00000000*
L0044256 00000000 00000000 00000000 00000000*
L0044288 00000000 00000000 00000000 00000000*
L0044320 00000000 00000000 00000000 00110000*
L0044352 000000 000000 000000 000000*
L0044376 000000 000000 000000 001000*
L0044400 000000 000000 000000 111000*
L0044424 000000 000000 000000 000000*
L0044448 000000 000000 000000 000100*
L0044472 000000 000000 000000 000000*
L0044496 00000000 00000000 00000000 00000000*
L0044528 00000000 00000000 00000000 00000000*
L0044560 00000000 00000000 00000000 00000000*
L0044592 00000000 00000000 00000000 00000000*
L0044624 00000000 00000000 00000000 00001000*
L0044656 00000000 00000000 00000000 00000000*
L0044688 00000000 00000000 00000000 00000000*
L0044720 00000000 00000000 00000000 00000000*
L0044752 00000000 00000000 00000000 00000000*
L0044784 000000 000000 000000 000000*
L0044808 000000 000000 000000 000000*
L0044832 000000 000000 000000 000000*
L0044856 000000 000000 000000 000100*
L0044880 001010 000000 000000 000000*
L0044904 001010 000000 000000 000000*
L0044928 00000000 00000000 00000000 00000000*
L0044960 00000000 00000000 00000000 00000000*
L0044992 00000000 00000000 00000000 00000000*
L0045024 00000000 00000000 00000000 00000000*
L0045056 00000000 00000000 00000000 00000000*
L0045088 00000000 00000000 00000000 00000000*
L0045120 00000000 00000000 00000000 00000000*
L0045152 00000000 00000000 00000000 00000000*
L0045184 00000000 00000000 00000000 00000000*
L0045216 000000 000000 000000 000000*
L0045240 000000 000000 000000 000000*
L0045264 000000 000000 000000 000000*
L0045288 000000 000000 000000 000000*
L0045312 000000 000000 000000 000000*
L0045336 000000 000000 000000 000000*
L0045360 00000000 00000000 00000000 00000000*
L0045392 00000000 00000000 00000000 00000000*
L0045424 00000000 00000000 00000000 00000000*
L0045456 00000000 00000000 00000000 00000000*
L0045488 00000000 00000000 00000000 00000000*
L0045520 00000000 00000000 00000000 00000000*
L0045552 00000000 00000000 00000000 00000000*
L0045584 00000000 00000000 00000000 00000000*
L0045616 00000000 00000000 00000000 00000000*
L0045648 000000 000000 000000 000000*
L0045672 000000 000000 000000 000000*
L0045696 000000 000000 000000 000000*
L0045720 000000 000000 000000 000000*
L0045744 000000 000000 000000 000000*
L0045768 000000 000000 000000 000000*
L0045792 00000000 00000000 00000000 00000000*
L0045824 00000000 00000000 00000000 00000000*
L0045856 00000000 00000000 00000000 00000000*
L0045888 00000000 00000000 00000000 00000000*
L0045920 00000000 00000000 00000000 00000000*
L0045952 00000000 00000000 00000000 00000000*
L0045984 00000000 00000000 00000000 10000000*
L0046016 00000000 00000000 00000000 00000000*
L0046048 00000000 00000000 00000000 00000000*
L0046080 000000 000000 000000 000000*
L0046104 000000 000000 000000 000000*
L0046128 000000 000000 000000 000000*
L0046152 000000 000000 000000 000000*
L0046176 000000 000000 000000 000000*
L0046200 000000 000000 000000 000000*
L0046224 00000000 00000000 00000000 00000000*
L0046256 00000000 00000000 00000000 10000000*
L0046288 00000000 00000000 00000000 10000000*
L0046320 00000000 00000000 00000000 00000000*
L0046352 00000000 00000000 00000000 00000000*
L0046384 10000000 00000000 00000000 00000000*
L0046416 00000000 00000000 00000000 00000000*
L0046448 00000000 00000000 00000000 00000000*
L0046480 00000000 00000000 00000000 00000000*
L0046512 000000 000000 000000 000000*
L0046536 000000 000000 000000 000000*
L0046560 000000 000000 000000 000001*
L0046584 000000 000000 000000 000001*
L0046608 000000 000000 000000 000001*
L0046632 000000 000000 000000 000001*
C3511*
187B

usb device sample soft (usb wheel mouse)

 
S00F000064636D6F7573652E7372656325
S3158C0100000CD00BD11B202B4009000CD0189112203F
S3158C01001009D000E10900090009000900090009005D
S3158C0100202B40136009000900090009000900090029
S3158C010030000000A00A00018CC003018C1C0000FF8B
S3078C0100400D0915
S3078C010042000029
S3158C010044E62FF36EE36F0B00F66E0900E62F43621F
S3158C0100542461027413602840206118401C61284174
S3158C0100641B2044611C6118411B204061F36E1C6189
S3158C0100741B20E36F0B00F66EE62F53612941194160
S3158C010084102401745361294110240174536119415B
S3158C010094102401745024F36EE36F0B00F66E090081
S3158C0100A4E62F05D1224F126101E40B41F36EE36F06
S3158C0100B4264F0B00F66E0900E000008C862F1BD1AF
S3158C0100C4962FA62FB62FE62F01E5224F436AFC7F86
S3158C0100D4F36E0B41E36416DBE3690900FC7F922F13
S3158C0100E4A36400E509E60B4B01E703688060047F92
S3158C0100F4FC88F38908880F8B0378806201E1FD788B
S3158C0101041732098B0BD183640B4104740188038BDD
S3158C0101140878816101A01D6007D0047EE36F264FA8
S3158C010124F66EF66BF66AF6690B00F6687C00018C42
S3158C0101349005018C5000018CFFFF0000862F1895C9
S3158C0101440CD7962FE62F224F0B4743680368FF780B
S3158C0101548360FF88F36E068907D909000B49FF78FA
S3158C0101648360FF88FA8BE36F264FF66EF6690B0074
S3158C010174F66888133224018C1C18018CE62FF36ED5
S3158C010184E36F0B00F66E0900E62FF36EE36F0B003B
S3158C010194F66E0900E62FF36EE36F0B00F66E09001B
S3158C0101A4E62F00E300E72DD100E6116200E55091BC
S3158C0101B4F36E1822008B04E304E129211821018BA7
S3158C0101C401E11B2302E129211821018B02E11B2365
S3158C0101D440911822128B22D1106001CA0021082069
S3158C0101E42B8910E129211821008B01E5236020C973
S3158C0101F40820228B2F9520A00900090010E12921C2
S3158C0102041821038B17D110611B611C6620E12921EE
S3158C0102141821028B13D110611C661D912921182179
S3158C010224028B10D110611C67236040C90820048B92
S3158C0102340CD11061183773611C670BD13021136291
S3158C010244017270220172602203715021E36F0B00DB
S3158C010254F66E00020004FF00800009002028018C40
S3158C0102642428018C1C28018CC830018CE62F1AD1C8
S3158C0102741AD21BD7224F216013650121F36E299261
S3158C010284F0C92030018B00E1102716D1516211631C
S3158C01029413663B222760F0C90820078970620BE13B
S3158C0102A4163204892361017101A01027002770621B
S3158C0102B402E32C613631018B01A0FF7233620AD1C0
S3158C0102C420215161112609D10B410900E36F264F77
S3158C0102D40B00F66EF00009002028018C1E28018C77
S3158C0102E41D28018C2228018C1C28018CA401018CCB
S3158C0102F4862F26D6962FFFE7E62F436824D900E46A
S3158C010304224F83650B49F36E1E7822D600E48365EE
S3158C0103140B49FFE71E7820D600E483650B49FFE77A
S3158C0103241E781ED600E483650B49FFE71E781CD61E
S3158C01033400E483650B49FFE71E781AD600E48365CE
S3158C0103440B49FFE71E7818D600E483650B49FFE752
S3158C0103541E7816D600E483650B49FFE71E7814D6FE
S3158C01036400E483650B49FFE71E7812D600E48365A6
S3158C0103740B49FFE71E7810D600E483650B49FFE72A
S3158C010384E36F264FF66EF6690B00F668F424018C3E
S3158C010394D018018CFC24018C2825018C5425018CC4
S3158C0103A46825018C7C25018C9025018CA825018CD2
S3158C0103B4BC25018CD825018CF825018C862F962F8A
S3158C0103C4A62FB62FC62FD62FE62F224F44D1807F48
S3158C0103D4807F0B41F36E43D143D40B41090043D146
S3158C0103E40B41090042D103640B4100E541D10B4118
S3158C0103F400E441D26D91112240D10B41090040D6C2
S3158C01040440D800E400E50B48FFE73FD10B4103E4F8
S3158C010414028813893DD600E424E50B48FFE73CDCCE
S3158C0104243CDB3DDD0B4C01E40B4B00E408C9082095
S3158C010434F88B0B4D0900F5AF0900090037D10B4137
S3158C01044424E433D946940B4900EA35D201E11022CE
S3158C01045434D24091112234D100E3302133D130216D
S3158C01046433D134D813620371302102723022FE7176
S3158C01047430210B483421936C26DB27DD83692ED8F6
S3158C01048412A0A82A18C8078B24940B4C09000B4973
S3158C010494090009A0A82A090028D10B41017A1B91CC
S3158C0104A4173A008B00EAA82AF68B0B4B00E41491BD
S3158C0104B401280821E68B0B4D09000F977C3EE36FCF
S3158C0104C4264FF66EF66DF66CF66BF66AF6690B00CC
S3158C0104D4F668464BC800F606881308020001090023
S3158C0104E4FC22018CB417018C00E100005E1A018C8C
S3158C0104F4B819018C9A19018C000000B44405018C3D
S3158C0105040C26018CD018018CE806018C3826018CBA
S3158C0105144001018CC000018CA400018CF402018C75
S3158C0105241C28018C2228018C1D28018C2428018CE1
S3158C010534C830018CFC16018C1E28018C3817018C51
S3158C01054408D209D1E62F1222847200E1122207D134
S3158C01055470721222947201E11222F36EE36F0B0014
S3158C010564F66E09008C6C5FA04F405561000050C338
S3158C010574E62F05D1F36E0900126001C90820FB8BA5
S3158C010584E36F0B00F66E0900186C5FA0862F962F0D
S3158C010594A62F03E0B62F1545C62F436B092BD62FF1
S3158C0105A4B36C084CE62F084C224F084CF87FF36E3B
S3158C0105B4622E736D088B2ED1FF750B4101E4036A90
S3158C0105C41FE1192A03A0CB2AC36A20E00B2A4D9179
S3158C0105D4173D018B03A0136D114D008900ED25D7B1
S3158C0105E4E0E1192724D142981B2724D173690B4145
S3158C0105F4711E23D28C3923D39361284B3921122230
S3158C010604DB2B21D10479E157BB2173601608184A77
S3158C01061439200229284CE06104791C62AB22CB2255
S3158C010624D361284118411B222229154D13D80479EB
S3158C0106340A8BEA5300E2D3320689D3623661FF729E
S3158C010644122928220479F98B10D201E112220B4842
S3158C010654711EE1577360087EE36F264FF66EF66D55
S3158C010664F66CF66BF66AF6690B00F668FF00000405
S3158C0106742423018C4728018C000000A07405018C6D
S3158C010684046C5FA0FFFFFF0F00000080186C5FA055
S3158C010694E62F64E1F36E0900FF711821FC8BE36F7D
S3158C0106A40B00F66EE62F4061F36E1C60E36F0B0054
S3158C0106B4F66E0900E62F5D655024F36EE36F0B002D
S3158C0106C4F66E0900E62F05D1224F05D40B41F36E44
S3158C0106D440C9E36F264F0B00F66E0900A806018C00
S3158C0106E4030000B4862F962F0CD8E62F224F4C6923
S3158C0106F40B48F36E0AD10BD40B4193650B48090055
S3158C01070409D10AD40B4109000B480C699360E36F38
S3158C010714264FF66EF6690B00F66809009406018C71
S3158C010724B806018C020000B4A806018C000000B442
S3158C010734862F962FA62F0ED9B62F4368E62F8C6853
S3158C010744224F536A0B49F36E0ADBAC6A0AD40B4B00
S3158C01075483650B49090009D40B4BA3650B49090025
S3158C010764E36F264FF66EF66BF66AF6690B00F6683E
S3158C0107749406018CB806018C020000B4000000B406
S3158C010784862F962FA62F13D9B62F4368E62F636A25
S3158C010794224F536B0B49F36E0FD18C680FD40B41DB
S3158C0107A483650B49FF7AA360FF880A890CD80900F3
S3158C0107B40CD40B48FF7A0B49002BA360FF88017B71
S3158C0107C4F68BE36F264FF66EF66BF66AF6690B00BB
S3158C0107D4F66809009406018CB806018C020000B4F3
S3158C0107E4A806018C000000B4862F962FA62F11D94A
S3158C0107F4B62FC62F4368E62F636B224F536C0B4976
S3158C010804F36E0DDA8C680DD403A08365C4650CD4A0
S3158C0108145C650B4AFF7B0B490900B360FF88F58B3A
S3158C010824E36F264FF66EF66CF66BF66AF6690B0079
S3158C010834F66809009406018CB806018C020000B492
S3158C010844000000B4862F08D1E62F4C68224F8364AE
S3158C0108540B41F36E80CB05D10C650B418364E36F3D
S3158C010864264FF66E0B00F668E806018C3407018C6C
S3158C010874862F08D1E62F4C68224F83640B41F36E85
S3158C0108847FC905D183640B410365E36F264FF66EED
S3158C0108940B00F668E806018C3407018CE62F53604D
S3158C0108A405D108401E024261276229211224F36E66
S3158C0108B4E36F0B00F66E0900D027018CE62F05D267
S3158C0108C45360426108402E022B211224F36EE36F8E
S3158C0108D40B00F66ED027018CE62F536205D10842A4
S3158C0108E4426313602E01F36E19233360E36F0B009D
S3158C0108F4F66E0900D027018C862F0AD2E62F224F59
S3158C0109042261F36E1821088900E1122206D823E4A8
S3158C0109140B4808E527E40B4801E5E36F264FF66E91
S3158C0109240B00F6687830018C3407018CE62FF36E54
S3158C010934E36F0B00F66E0900E62FF36EE36F0B0083
S3158C010944F66E0900E62FF36EE36F0B00F66E090063
S3158C010954E62FF36EE36F0B00F66E0900E62FF36E4A
S3158C010964E36F0B00F66E0900E62FF36EE36F0B0053
S3158C010974F66E0900E62FF36EE36F0B00F66E090033
S3158C010984862F28D1962FA62FB62FC62FD62FE62F94
S3158C01099408EA224F136B1262F36EA732188B22D894
S3158C0109A40B48836D21D922D40B4921E50B48936CD1
S3158C0109B420D921D882609C0520D45C6501700B4CAE
S3158C0109C402280B4DFF7AA82AF48BB2611FA0F87109
S3158C0109D415421D8B14D80B4800EA14D914D40B492F
S3158C0109E421E50B48836DB261936C133A0E8911D947
S3158C0109F411D8090082609C0510D45C6501700B4C7E
S3158C010A0402280B4D017AB261133AF38B00E1122B56
S3158C010A14E36F264FF66EF66DF66CF66BF66AF6692F
S3158C010A240B00F6685C30018C9406018CB806018C3B
S3158C010A34020000B4F826018C5830018C000000B4F5
S3158C010A44862F21D1962FA62FE62F224F22E40B41F6
S3158C010A54F36E60C96088298B1CDA23E40B4A08E59A
S3158C010A641BD11269982913891AD10B4109001AD8F9
S3158C010A7482611821068923E40B4A05E500E11CA051
S3158C010A841228090023E40B4A01E501E115A0122879
S3158C010A9412D8826118210489806504E40B4A5C6549
S3158C010AA4922827E40B4A01E507A0090008D100E244
S3158C010AB4222106D127E40B4101E5E36F264FF66E1D
S3158C010AC4F66AF6690B00F668E806018C3407018C24
S3158C010AD47830018C8409018C6430018C8430018CCE
S3158C010AE4862F1ED1962FA62FE62F224F2AE40B4151
S3158C010AF4F36E60C960882A8B19D10B41090019D808
S3158C010B0419DA8369946529E40B4A5C65906529E451
S3158C010B140B4A5C6583610271106529E40B4A5C6539
S3158C010B240378806529E40B4A5C6510D222612368BB
S3158C010B34182105892BE40B4A07E505A08261090076
S3158C010B442BE40B4A03E58261182129011228E36FF0
S3158C010B54264FF66EF66AF6690B00F668E806018C82
S3158C010B647002018CC830018C3407018C6830018C7D
S3158C010B74E62F0CD1224F32E40B41F36E60C96088A7
S3158C010B84068B09D222611821290106A01222090099
S3158C010B9406D507D131E40B4108E6E36F264F0B00EA
S3158C010BA4F66E0900E806018C6C30018CB830018C28
S3158C010BB4EC07018CE62F0CD1224F3AE40B41F36EF0
S3158C010BC460C96088068B09D222611821290106A085
S3158C010BD41222090006D507D139E40B4110E6E36FDD
S3158C010BE4264F0B00F66E0900E806018C7030018CD9
S3158C010BF4A830018CEC07018CE62F0DD2224F206094
S3158C010C04F36E03C911405C8B01E11730598B028851
S3158C010C14578B2361047110600FE2092206E11632A7
S3158C010C244F8904C72C011C610C312B410900090025
S3158C010C345030018C081C3044586C800023D10B41F4
S3158C010C4420E423D123D40B4100E53AA00900090001
S3158C010C541ED10B4128E41ED11ED40B4101E530A0D3
S3158C010C640900090019D10B412CE419D119D40B4172
S3158C010C7402E526A00900090014D10B4130E414D1F4
S3158C010C8414D40B4103E51CA0090009000FD10B41B7
S3158C010C9434E40FD10FD40B4104E512A009000900E9
S3158C010CA40AD10B4138E40AD10AD40B4105E508A0D3
S3158C010CB40900090005D10B413CE405D105D40B414E
S3158C010CC406E5E36F264F0B00F66E09007408018C5A
S3158C010CD4A008018C7430018CE62F06D100E31061D7
S3158C010CE4F36E1C6205E11632328903C72C010C3171
S3158C010CF42B4109005230018C0818203038480900E0
S3158C010D0418D268E1122218D204E125A01222090014
S3158C010D1414D217A06CE1090012D27AE1122212D2F2
S3158C010D2426E119A0122209000ED218910BA01222C7
S3158C010D340CD2159112220CD21AE10DA012220900A1
S3158C010D4408D20E91122208D20EE105A012220900B4
S3158C010D5404D1322104D13221E36F0B00F66EA0004B
S3158C010D64AE00C8005830018C5C30018C862F0AD2B7
S3158C010D74E62F224F01E1122208D11062F36EFD7126
S3158C010D842C6321E2FF7326331368418904C73C0122
S3158C010D940C312B41090009007830018C5330018CBC
S3158C010DA4243464547070707070707070707070705C
S3158C010DB4707070707070707070707070707070709C
S3158C010DC4444C090020D200E1122220D212E124A043
S3158C010DD4122209001CD212E112221CD222E11CA07D
S3158C010DE41222090018D207A024E1090016D111A0F8
S3158C010DF434E2090014D21BE1122214D209E10CA0AB
S3158C010E041222090012D10B41090007A08361090042
S3158C010E140DD100E222210DD122218361077110614A
S3158C010E241821088B83610671106108D31C623261A7
S3158C010E342731008B222307D10B410900E36F264FFF
S3158C010E44F66E0B00F66809005830018C5C30018C07
S3158C010E54DC0C018C8409018C862F1AD1962FE62FF2
S3158C010E64224F1060F36E03C90188178901E9973003
S3158C010E7404890820128917A0090009000288138B9A
S3158C010E840371106510D111D40B415C650820058959
S3158C010E940FD821E40B4893650BA021E40CD821E4EB
S3158C010EA40B4800E505A021E409D821E40B4800E5AB
S3158C010EB421E40B4800E5E36F264FF66EF6690B00C9
S3158C010EC4F66809005030018CDC08018C7430018C75
S3158C010ED43407018C862F2CD22CD1962FA62FE62F54
S3158C010EE4224F1061F36E1C6112221821328928D18A
S3158C010EF428DA00E222212BE427D108E50B4A2221A8
S3158C010F0428E40B4A11E525D88369946529E40B4AAF
S3158C010F145C65906529E40B4A5C6583610271106595
S3158C010F2429E40B4A5C650378806529E40B4A5C6584
S3158C010F341BD222612368182104892BE40B4A07E509
S3158C010F4404A082612BE40B4A03E5826118212901F1
S3158C010F5413A012280FD828E40B4800E52CE40B487F
S3158C010F6400E530E40B4800E534E40B4800E538E44D
S3158C010F740B4800E53CE40B4800E5E36F264FF66E1F
S3158C010F84F66AF6690B00F6686030018C5230018C76
S3158C010F948830018C3407018C7430018CC830018CF7
S3158C010FA46830018CE62F0CD2224F2060F36E03C974
S3158C010FB411403F8B01E117303C8B02883A8B2361BC
S3158C010FC4047110600FE2092206E11632328903C7D5
S3158C010FD42C010C312B4109005030018C0814202C26
S3158C010FE43844500015D116D40B4100E522A00900D2
S3158C010FF412D113D40B4101E51CA009000FD110D4D5
S3158C0110040B4102E516A009000CD10DD40B4103E565
S3158C01101410A0090009D10AD40B4104E50AA00900E0
S3158C01102406D107D40B4105E504A0090003D104D4E8
S3158C0110340B4106E5E36F264F0B00F66EC008018C57
S3158C0110447430018C862F12D1962FA62FE62F224F20
S3158C0110540B41F36E0FD810D9836AA46521E40B492D
S3158C0110645C65A06521E40B495C658361027110653D
S3158C01107421E40B495C650378806521E40B495C6545
S3158C011084E36F264FF66EF66AF6690B00F66809006D
S3158C0110947002018CC830018C3407018CE62FF36EF7
S3158C0110A4E36F0B00F66E0900E62F06D1224F10650D
S3158C0110B421E405D1F36E0B415C65E36F264F0B007E
S3158C0110C4F66E09007C30018C3407018CE62F04D131
S3158C0110D4106104D21C611222F36EE36F0B00F66E5F
S3158C0110E45330018C7C30018CE62F06D1224F10654E
S3158C0110F421E405D1F36E0B415C65E36F264F0B003E
S3158C011104F66E09008030018C3407018CE62F04D1EC
S3158C011114106104D21C611222F36EE36F0B00F66E1E
S3158C0111245230018C8030018C862F1BD1962FA62FA1
S3158C011134E62F224F26E40B41F36E40C90820018B1E
S3158C011144C6A0090015D916D125E408E60B41936589
S3158C01115414D827E40B4808E523E40B4808E512D197
S3158C0111640B4120E490609362836A60C908200589E7
S3158C011174208863890DD8A2A00900090093610171A5
S3158C01118410611C650BE11635538909C75C011C6119
S3158C0111940C312B4109000900E806018C5030018C75
S3158C0111A48407018C3407018C7408018C4808018CE2
S3158C0111B43418826C824C0C822460407826D10B4183
S3158C0111C409007FA023E4090024D10B41090079A0ED
S3158C0111D423E4090022D1106521E40B4A5C6571A0D4
S3158C0111E423E409001FD10B4109006BA023E40900F8
S3158C0111F421E40B4A00E565A023E409001AD3236193
S3158C0112040271106127921C612C315AA01223090098
S3158C01121416D10B41090055A023E4090014D10B41C5
S3158C01122409004FA023E4090023610271106118217E
S3158C011234478910D10B4120E444A023E493610171C5
S3158C01124410611C650AE1FF75163535890AC75C017F
S3158C0112540C312B4109008000700D018CFC0B018C27
S3158C0112646030018C5C0E018C8430018CD80E018C1F
S3158C011274A80F018C4808018C0C141C40404040403A
S3158C011284242C34001DD112A0090009001CD10EA0F6
S3158C011294090009001BD10AA0090009001AD106A06C
S3158C0112A40900090019D102A00900090018D10B41C2
S3158C0112B4090018D803A0090016D80B4820E40B485A
S3158C0112C420E423E40B4A05E513D200E10FA0122294
S3158C0112D412D2226112DA18210389022223E40B4ADF
S3158C0112E408E527E40B4A08E527E40B4A01E5E36F95
S3158C0112F4264FF66EF66AF6690B00F6684810018C71
S3158C011304AC10018CEC10018CA010018CD010018CCA
S3158C0113141011018C4808018C6430018C7830018C55
S3158C0113243407018C862F0FD1E62F224F2EE40B41E5
S3158C011334F36E1691C0C9103007890BD50BD12DE4E8
S3158C0113440B4108E60AD10B4109000AD82FE40B4854
S3158C01135408E52FE40B4801E5E36F264FF66E0B0087
S3158C011364F668C000E806018CC030018C8407018CB8
S3158C0113748001018C3407018C862F0FD1E62F224FE5
S3158C01138436E40B41F36E1691C0C9103007890BD51F
S3158C0113940BD135E40B4108E60AD10B4109000AD875
S3158C0113A437E40B4808E537E40B4801E5E36F264F30
S3158C0113B4F66E0B00F668C000E806018C9030018C41
S3158C0113C48407018C8C01018C3407018C862F0FD1F7
S3158C0113D4E62F224F3EE40B41F36E1691C0C91030B1
S3158C0113E407890BD50BD13DE40B4110E60AD10B4190
S3158C0113F409000AD83FE40B4808E53FE40B4801E5AC
S3158C011404E36F264FF66E0B00F668C000E806018C76
S3158C0114149830018C8407018C9801018C3407018CDA
S3158C011424E62F17D1224F0CE40B41F36E036101C9EC
S3158C0114340820058913D10B4109001CA00900090058
S3158C011444136002C9082005890FD10B41090012A02A
S3158C01145409000900136004C9082005890BD10B41C5
S3158C011464090008A00900090008E22921182102892A
S3158C01147407D10B410900E36F264F0B00F66E090069
S3158C011484E806018C2C11018C2813018C7C13018C9C
S3158C011494D013018CE62F17D1224F0AE40B41F36E3C
S3158C0114A4036101C90820058913D10B4109001CA0CC
S3158C0114B409000900136002C9082005890FD10B4163
S3158C0114C4090012A009000900136004C908200589C2
S3158C0114D40BD10B41090008A00900090008E2292156
S3158C0114E41821028907D10B410900E36F264F0B00A2
S3158C0114F4F66E0900E806018C440A018CE40A018C17
S3158C011504740B018CB80B018CE62F26D1224F0EE479
S3158C0115140B41F36E036110C90820058922D10B4155
S3158C01152409003AA009000900136020C9082005891D
S3158C0115341ED10B41090030A009000900136040C972
S3158C011544082005891AD10B41090026A00900090036
S3158C011554136080C90820058916D10B4109001CA08A
S3158C01156409000900136002C90820058912D10B41AF
S3158C011574090012A009000900136004C90820058911
S3158C0115840ED10B41090008A00900090008E22921A2
S3158C011594182102890AD10B410900E36F264F0B00EE
S3158C0115A4F66E0900E806018CFC08018C3009018C65
S3158C0115B43C09018C4809018C6009018C6C09018CEC
S3158C0115C47809018C862F21D1E62F224F08E40B4111
S3158C0115D4F36E036140C9082018891DD805E40B48AC
S3158C0115E400E531950B4804E420E40B4800E523E43B
S3158C0115F40B4808E527E40B4801E509E40B4810E59B
S3158C01160405E40B4802E51AA009000900136010C908
S3158C011614082009890ED818950B4809E405E40B486A
S3158C01162403E50CA0090009000E92292118210689CB
S3158C01163407D809E40B4850E505E40B4802E5E36F4A
S3158C011644264FF66E0B00F6688000C000E806018C06
S3158C0116543407018C862F22D1E62F22D8224F00E221
S3158C011664222121D1222121D100E4222105E520D177
S3158C011674F36E0B4822211FD10B4132E42A950B4878
S3158C01168400E401E40B4804E525950B4804E420E4C5
S3158C0116940B4800E520950B480FE40BE40B480FE54A
S3158C0116A40DE40B480FE509E40B4850E515950B48F9
S3158C0116B407E423E40B4808E527E40B4801E505E434
S3158C0116C40B4802E50A950B4800E4E36F264FF66E48
S3158C0116D40B00F66884008000F000D6008C000900AB
S3158C0116E47830018C3407018C6030018C7430018C18
S3158C0116F48830018C4001018C862F0BD1962FE62FD5
S3158C011704224F0B41F36E09D10B4107E4036908D8C7
S3158C01171407E40B4800E507E40B489365E36F264F12
S3158C011724F66EF6690B00F6685816018CE806018C80
S3158C0117343407018C862F962FE62F224F16D920A09B
S3158C011744F36E090015D10B4106E4036840C90820E0
S3158C011754028913D10B410900836004C908200289CB
S3158C01176410D10B410900836010C9082002890ED15E
S3158C0117740B41090002E11928882802890BD10B41F6
S3158C01178409000B4909000820DC8BE36F264FF66EA2
S3158C011794F6690B00F6680900C806018CE806018C0B
S3158C0117A42414018C9814018C0C15018CC815018C8C
S3158C0117B4862F436515D6084515D45C3515D708454A
S3158C0117C4962F00E8A62F63690879E62F636A224F60
S3158C0117D40B47047AFF708129636181261871002A6B
S3158C0117E40CE2212108E221210871812160E2F07148
S3158C0117F421212476812630E11129F36EE36F264F5C
S3158C011804F66EF66AF6690B00F66809000000E8FFC5
S3158C01181480F0FA025424018CE62F03D11160F36E05
S3158C01182401C9E36F0B00F66E2000E8FFE62F4C64CA
S3158C01183408D3F36E31611D62236020C90820F989AE
S3158C01184405D106934021392204712121E36F0B00C2
S3158C011854F66E9F001000E8FF0C00E8FFE62F09D214
S3158C0118642160F36EBFC9012221611D61136040C9D8
S3158C0118740820F989049339211122E36F0B00F66E42
S3158C011884BF0009001000E8FF862F962FA62FE62F9E
S3158C011894224F43699461F36E1821098908DA936896
S3158C0118A4FF78090084640B4A4C6494611821F98B82
S3158C0118B4E36F264FF66EF66AF6690B00F668090035
S3098C0118C43018018CB8
S30D8C0118C8000000000000000085
S3158C0118D0E62F2A0ED62FC62FB62FA62F436A536B09
S3158C0118E0636C736DC466A364B365682604896C6680
S3158C0118F009B0D367F6AF0C7AF66AF66BF66CF66DB1
S3158C0119002A4E0B00F66E2A03C0B009002A430362E5
S3158C0119100044536008405C3018404C3024D11C3054
S3158C01192020E11736028948E60AA008467FE113367C
S3158C011930068FE0761736F68BA0761736F3894076C6
S3158C0119406361084100416C3108412C31830113627A
S3158C0119502072830218E21464184414655C655B2456
S3158C011960184414655C655B2418440CE30444018FAC
S3158C01197010437120FA8F02700E9310423C300CE3A7
S3158C0119800444018F10437120FA8F02700493104224
S3158C011990E18F3C300B000900E80405D005D14120CC
S3158C0119A01041FC8F02700B00090009000900090027
S3158C0119B0000000A500B0040003E1592126C71C05CF
S3158C0119C023D000E22210407000E22220084178E305
S3158C0119D0004302E22824058F0171004301E205428E
S3158C0119E019422B21111000E1141028E108410841FC
S3158C0119F05D411510462F01E2184228E108415D41EF
S3158C011A0002E55824028D01E41C34507218440844B2
S3158C011A103C34FF74184408441C34FF744710F66434
S3158C011A207C70251024E1136228412B211D103C3139
S3158C011A30181013911C1007D10544018F00E003E0A7
S3158C011A40184002210B000900090009000900090050
S3158C011A5000805FA0002C70A001010002A40008D0B8
S3158C011A6008D2026108D329213B2112200285194013
S3158C011A700B0003C9090009000900090009000900C6
S3158C011A802C0080FFFFFFF0FF00000A0004D00260EB
S3158C011A902B4000E109000900090009000900090031
S3098C011AA0B400008C6F
S3158C011AA4E62F436263602361FF70FF88F36E0489BA
S3158C011AB45021FF70FF880171FA8B2360E36F0B0051
S3158C011AC4F66E0900E62F436363603362FF70FF8809
S3158C011AD4F36E05895461FF701022FF880172F98BAC
S3158C011AE43360E36F0B00F66EE62F6360FF70FF883D
S3158C011AF4F36E0889446254611032018904A001E0B1
S3158C011B04FF70FF88F68B00E0E36F0B00F66E09001D
S3158C011B14E62F00E04461F36E182103894461017058
S3158C011B241821FB8BE36F0B00F66E0900E62F4360DD
S3158C011B340362F36E5461102018210170FA8B2360B1
S3158C011B44E36F0B00F66E0900E62F43600362206196
S3158C011B54F36E182104890900017000611821FB8B2D
S3158C011B645461102018210170FA8B2360E36F0B00EA
S3158C011B74F66E0900E62F4062F36E28220E895061B7
S3158C011B8418210B891032098B017440630175382332
S3158C011B9404895062282201892033F58940611C60AD
S3158C011BA450611C611830E36F0B00F66EE62F6826C4
S3158C011BB4F36E018B1EA000E0FF76682615894062C0
S3158C011BC428221289506118210F8910320D8B090034
S3158C011BD401740175FF766826078940633823048965
S3158C011BE45062282201892033F28940611C6050613C
S3158C011BF41C611830E36F0B00F66E0900862F962F45
S3158C011C04A62FB62FE62F4369224F536A11DB03A005
S3158C011C14F36E09000179017A906118210989A06111
S3158C011C24182106890B4B906403680B4BA06400380E
S3158C011C34F0890B4B906403680B4BA06403618C6035
S3158C011C441C611830E36F264FF66EF66BF66AF669ED
S3158C011C540B00F668A01F018C862F962FA62FB62F04
S3158C011C644369C62F536AE62F636B224FB82BF36EE7
S3158C011C74028B20A000E0090013DC04A0FF7B090081
S3158C011C840179017AFF7BB82B0C899061182109891A
S3158C011C94A061182106890B4C906403680B4CA064D3
S3158C011CA40038ED890B4C906403680B4CA06403617A
S3158C011CB48C601C611830E36F264FF66EF66CF66BEE
S3158C011CC4F66AF6690B00F668A01F018CE62F43674A
S3158C011CD463627363F36E0900FF722360FF88048960
S3158C011CE45461102718210177F68B3360E36F0B004F
S3158C011CF4F66E0900E62F4367636273633061F36E94
S3158C011D0418210389017770611821FB8BFF7223607B
S3158C011D14FF8804895461102718210177F68B336067
S3158C011D24E36F0B00F66E0900E62F4360006103A096
S3158C011D34F36E090001700061182101895031F98B08
S3158C011D44503100E1008B03611360E36F0B00F66E77
S3158C011D54E62F436000E20061F36E182107890900BE
S3158C011D645031008B0362017000611821F88B50315C
S3158C011D7400892360E36F0B00F66E0900862F962F7C
S3158C011D84A62FE62F4368224F53698065F36E582537
S3158C011D940A8909DA0B4A93640820018905A08360B0
S3158C011DA4017880655825F58B00E0E36F264FF66E36
S3158C011DB4F66AF6690B00F6682C1D018C862F962F14
S3158C011DC416D8A62FB62FC62F436AE62F224F536BEE
S3158C011DD40B48F36E03690B48B3640368982910891D
S3158C011DE488280E8983390C8B88390DDCA364B365F9
S3158C011DF40B4C836608200789017AFF799360FF88E7
S3158C011E04F48B02A000E00900A360E36F264FF66E03
S3158C011E14F66CF66BF66AF6690B00F668141B018C84
S3158C011E24B01B018CE62F00E34824F36E028B1AA0B7
S3158C011E3400E00900446228221489D0722C61307224
S3158C011E4409E776310E890900336008400C333C333B
S3158C011E54D0732C33446228220489D0722C6130725B
S3158C011E647631F18B3360E36F0B00F66EE62F00E36C
S3158C011E744824F36E028B1AA000E0090044622822DE
S3158C011E841489D0722C61307209E776310E89090076
S3158C011E94336008400C333C33D0732C334462282290
S3158C011EA40489D0722C6130727631F18B3360E36F95
S3158C011EB40B00F66EE62F9F7419E142312900F36EFD
S3158C011EC4E36F0B00F66E0900E62FBF7419E14231FC
S3158C011ED42900F36EE36F0B00F66E0900E62F00E022
S3158C011EE44361BF7119E22631F36E028B9F742634DA
S3158C011EF4008901E0E36F0B00F66E0900E62F00E022
S3158C011F044361D07109E22631F36E068BEF7119E2C6
S3158C011F142631028B9F742634008901E0E36F0B0012
S3158C011F24F66E0900E62FD07409E142312900F36E6D
S3158C011F34E36F0B00F66E0900E62F00E04361D07166
S3158C011F4409E22631F36E068BCF7105E22631028BBB
S3158C011F54BF742634008901E0E36F0B00F66E090029
S3158C011F64E62F436000E22088F36E0389F77004E15F
S3158C011F741630008901E22360E36F0B00F66E0900CB
S3158C011F84E62F436223609F7019E11630F36E008944
S3158C011F94E0722360E36F0B00F66E0900E62F436251
S3158C011FA42360BF7019E11630F36E008920722360A9
S3158C011FB4E36F0B00F66E0900862F436700E1962FBB
S3158C011FC45368A62F2078E62F7369224F114910285E
S3158C011FD4F36E00899B670DDA73640B4A0AE5036613
S3158C011FE408406C300C30083730777428636778274F
S3158C011FF4F28B114901892DE114288360E36F264FF5
S3158C012004F66EF66AF6690B00F66809005424018C9F
S3158C012014862F962FA62FB62FC62F436212DB00E18D
S3158C012024D62F5368E62F2078224F73690FDCF36E13
S3158C012034E85D636A1028090023609920C2612364D0
S3158C0120441C01A3650B4B14280362D9222822F38B1A
S3158C0120548360E36F264FF66EF66DF66CF66BF66A55
S3158C012064F6690B00F6680900B023018C1028018CE3
S3158C012074862F962FA62FB62FC62FD62FE62F224F15
S3158C012084C47FF36E5C1E436AA468636D8828018BD6
S3158C012094D9A000E1836025880289C9A0802D090015
S3158C0120A4A06883602D88058B00E11D1E017A03A02F
S3158C0120B4A068090001E22D1E836020E11E1E308872
S3158C0120C4008B8E1E00ECD0788C61307809E2263137
S3158C0120D40D890900C36108411C3CCC3C8C3C017ABA
S3158C0120E4A068D07CD0788C6130782631F28B836071
S3158C0120F42E88198B017AA06800EB09E2D0788C6161
S3158C0121043078263111890900B36008400C3BBC3BFD
S3158C0121148C3B017AA068D07BD0788C61307826315F
S3158C012124F28B03A083600900FFEB83606C88018BBF
S3158C012134017AA06820E18362A87216323C8902C7AF
S3158C0121442C010C312B4109003470707070707070D5
S3158C0121547070546C247070247070707070707044CC
S3158C0121647070703070247070340009003CD1EC545A
S3158C0121740B41E36526A0036924A0EC59FC7F39D174
S3158C012184E365122F04E638D10FA00FE7FC7F37D114
S3158C012194E365122F03E634D107A007E7FC7F34D11C
S3158C0121A4E365122F01E630D101E70B41EC54036947
S3158C0121B408A0047F30E0EC08802EE362017200E112
S3158C0121C4E369102288280089017A2AD20B42936406
S3158C0121D40361B360FF8813680289B738008BB368CF
S3158C0121E4ED51A36B017B18210C89C361FF7C87316B
S3158C0121F4088B090038E0EC02C361FF7C202D873102
S3158C012204017DF789D3641CD193650B418366ED52A9
S3158C0122148C3D28220F8BC361FF7C87310B8B20E28B
S3158C012224202DC361FF7C8731017DF98904A0A068C7
S3158C012234A36B017B017DA068B36A882802892AAFC6
S3158C0122448360090000E1102D3C7EE36F264FF66E08
S3158C012254F66DF66CF66BF66AF6690B00F668090090
S3158C012264BC1F018CFFFFFF0F1420018CFFFFFF1F86
S3118C012274FFFFFF7F141B018CD01C018C1A
S3158C012280862F0AD1962F0AD8E62F1238224F136938
S3158C012290F36E048986610B4109009238FA8BE36FE0
S3158C0122A0264FF66EF6690B00F66809001C28018C20
S3158C0122B01C28018C862F0DD1962F0DD8A62FE62F93
S3158C0122C01638224F13690BDAF36E058BFC78826113
S3158C0122D00B4109009638F98907D40B4A0900E36F3B
S3158C0122E0264FF66EF66AF6690B00F6681C28018C89
S3158C0122F01C28018C4400018C8022018CE62F07D28C
S3158C012300224F2261F36E1821048B01E1122204D132
S3158C0123100B410900E36F264F0B00F66E8C30018C56
S3098C012320B422018CC3
S3158C0123241FE0092502C75C055C302B40436009001C
S3158C012334782E242C222A202838423640343E323CAC
S3158C0123444E584C564A5448526470626E606C5E6A3E
S3158C012354084008400B0008400840084008400B0020
S3158C01236400400840084008400B00184008400840CB
S3158C012374084018400B0000400840084008400B00F8
S3158C012384284008400840084028400B00004008407B
S3158C0123940840084028400B00184008400840084073
S3118C0123A4284018400B0000400B0009007B
S3158C0123B01FE0092502C75C055C302B404360090090
S3158C0123C06E6A68666462605E5C5A58565452504EA8
S3158C0123D048464442403E3C3A32302E2C2A2826200E
S3158C0123E024400B000A3021402140214021402140CC
S3158C0123F02140294019400B000E602140214021408B
S3158C012400214021402140214029400B000F60214071
S3158C0124102140214021402140214021402140214021
S3158C012420214021402140214021400B0021400B00BD
S3078C01243009000E
S3158C0124324E25536349622D233823018B0B001A00D7
S3158C0124421A002E251A013E241A021C3228420B002E
S3078C0124522C3099
S3158C012454436153600820488900E227213A332A31A3
S3158C0124640723244104332441043324410433244172
S3158C0124740433244104332441043324410433244155
S3158C0124840433244104332441043324410433244145
S3158C0124940433244104332441043324410433244135
S3158C0124A40433244104332441043324410433244125
S3158C0124B40433244104332441043324410433244115
S3158C0124C40433244104332441043324410433244105
S3158C0124D404332441043324410433244104332441F5
S3138C0124E4043324412E310B0013600B0000E0F3
S3158C0124F475736167653A0000202055502020203A77
S3158C012504206D6F7665207570202020287768656527
S3158C0125146C206D6F64653A206D6F766520757029B4
S3158C012524000000002020444F574E203A206D6F76D0
S3158C0125346520646F776E2028776865656C206D6F6E
S3158C01254464653A206D6F766520646F776E29000019
S3158C01255420204C454654203A206D6F7665206C6557
S3158C01256466740000202052494748543A206D6F7690
S3158C0125746520726967687400202041202020203AE6
S3158C012584206C65667420636C69636B002020422021
S3158C0125942020203A20726967687420636C69636BA6
S3158C0125A400000000202059202020203A206D6964E7
S3158C0125B420636C69636B0000202058202020203A0C
S3158C0125C420776865656C206D6F6465207377697493
S3158C0125D46368000020205354415254202B205550BB
S3158C0125E4203A207265696E6974205553424E393688
S3158C0125F43033000020205354415254202B2059202F
S3158C012604203A20657869740055534220576865656C
S3158C0126146C204D6F75736520666F7220447265618B
S3158C0126246D6361737420206279204A4A314F444D1B
S3158C012634000000004E4F5420464F554E44205553AE
S3158C012644424E393630332021212045584954203C79
S3138C01265453544152543E20427574746F6E007D
S3078C0126620000E3
S3158C0126643031323334353637383941424344454631
S3068C01267400D2
S3158C0126F81201100100000008DA08810301000102A9
S3158C0127080301090222000101048032090401000136
S3158C0127180301020509210001000122340007058104
S3158C0127280304003205010902A1010901A100050969
S3158C0127381901290315002501950375018102950156
S3158C0127487505810105010930093109381581257FFE
S3158C012758750895038106C0C0040309040E034A0053
S3158C0127684A0031004F0044004D0026034A004F00B1
S3158C012778590050004100440020005700480045008C
S3158C01278845004C0020004D004F0055005300450074
S3158C0127980E034A0057004D003000300031001A03F1
S3158C0127A842006100730069006300200043006F00DA
S3158C0127B86E006600690067000E0331002000700008
S3158C0127C8690070006500000001000000020000002D
S3158C0127D80400000008000000100000002000000022
S3158C0127E8400000008000000000010000000200008B
S3158C0127F80004000000080000001000000020000002
S30D8C012808004000000080000075
S3098C0128106426018C1A
S3098C0128141828018C60
S3098C0128180000000029
S7058C0100006D

GPIO sample soft (LCD module control)

 
// $$$ : lcd.c -- GPIO port LCD control test program. by jj1odm
//
// GPIO port bit assign: (LCD : 4 bit bus)
// P5 : LCD E
// P4 : LCD RS
// P3 : LCD D7
// P2 : LCD D6
// P1 : LCD D5
// P0 : LCD D4
// (GND : LCD R/W)
// (GND : LCD contrast)
// (+5V : LCD VDD)
// (GND : LCD VSS)

typedef unsigned char uchar;
typedef unsigned short ushort;
typedef unsigned long ulong;

#define G2USB_BASE 0xb4000000 // G2USB base address
#define G2USB_MAGIC_CODE 0x4b46 // G2USB magic code (my name "KF")

#define USBN9603_DATA (G2USB_BASE + 0) // USBN9603 data register
#define USBN9603_ADRS (G2USB_BASE + 2) // USBN9603 address register
#define GPIO_REG (G2USB_BASE + 1) // GPIO port register
#define CONTROL_REG (G2USB_BASE + 3) // control register

#define LCD_E 0x20
#define LCD_RS 0x10

#define LCD_FUNC_8BIT 0x30 // LCD function 8bit mode
#define LCD_FUNC_4BIT 0x20 // LCD function 4bit mode
#define LCD_CLR_CMD 0x01 // LCD clear command
#define LCD_DDR_CMD 0x80 // LCD DD address command

#define lcd_putc(c) lcd_data(c)

void delay_u(int usec)
{
while (usec--) (void)*((volatile ulong *)G2USB_BASE);
}

void delay_m(int msec)
{
msec = msec * 770;
while (msec--) (void)*((volatile ulong *)G2USB_BASE);
}

void lcd_cw(uchar cmd)
{
uchar dat;

dat = (cmd & 0xf0) >> 4; // RS = OFF (command)
*((volatile uchar *)GPIO_REG) = dat; //
delay_u(1);
*((volatile uchar *)GPIO_REG) = dat|LCD_E; // LCD E ON
delay_u(2);
*((volatile uchar *)GPIO_REG) = dat; // LCD E OFF
delay_u(1);
}

void lcd_dw(uchar data)
{
uchar dat;

dat = ((data & 0xf0) >> 4) | LCD_RS; // RS = ON (data)
*((volatile uchar *)GPIO_REG) = dat;
delay_u(1);
*((volatile uchar *)GPIO_REG) = dat|LCD_E; // LCD E ON
delay_u(2);
*((volatile uchar *)GPIO_REG) = dat; // LCD E OFF
delay_u(1);
}

void lcd_cmd(uchar cmd)
{
lcd_cw(cmd);
lcd_cw(cmd < < 4);
delay_u(50);
}

void lcd_data(uchar data)
{
lcd_dw(data);
lcd_dw(data < < 4);
delay_u(50);
}

void lcd_init(void)
{
delay_m(15);
lcd_cw(LCD_FUNC_8BIT);
delay_m(5);
lcd_cw(LCD_FUNC_8BIT);
delay_u(100);
lcd_cw(LCD_FUNC_8BIT);
delay_u(100);
lcd_cw(LCD_FUNC_4BIT);
delay_u(100);

lcd_cmd(0x2E);
lcd_cmd(0x08);
lcd_cmd(0x0D);
lcd_cmd(0x06);
}

void gpio_init(void)
{
*((volatile ushort *)G2USB_BASE) = G2USB_MAGIC_CODE; // device enable
*((volatile uchar *)CONTROL_REG) = 0; // GPIO direction all output & interrrupt disable
*((volatile uchar *)GPIO_REG) = 0; // GPIO port init 0
}

void lcd_clr(void)
{
lcd_cmd(LCD_CLR_CMD);
delay_m(5);
}

void lcd_cur(int x, int y)
{
lcd_cmd(LCD_DDR_CMD | x | (y == 0 ? 0 : 0x40));
}

void lcd_puts(register uchar *s)
{
while (*s) lcd_putc(*s++);
}

void atexit() {}

int main()
{
gpio_init();
lcd_init();
lcd_clr();
lcd_cur(0, 0);
lcd_puts("G2USB(V3) GPIO test");
lcd_cur(0, 1);
lcd_puts("LCD drive by jj1odm");
for (;;)
;
}

// end of : lcd.c


GPIO sample soft (N64 joypad control)

 
// $$$ : n64joypad.c -- N64 joypad control test program. by jj1odm
//
// GPIO port bit assign:
// P0 : N64 joypad data
// (+3.3V : N64 joypad VCC)
// (GND : N64 joypad GND)

#include "sci.h"

typedef unsigned char uchar;
typedef unsigned short ushort;
typedef unsigned long ulong;

#define G2USB_BASE 0xb4000000 // G2USB base address
#define G2USB_MAGIC_CODE 0x4b46 // G2USB magic code (my name "KF")

#define USBN9603_DATA (G2USB_BASE + 0) // USBN9603 data register
#define USBN9603_ADRS (G2USB_BASE + 2) // USBN9603 address register
#define GPIO_REG (G2USB_BASE + 1) // GPIO port register
#define CONTROL_REG (G2USB_BASE + 3) // control register

#define P0 0
#define P1 1
#define P2 2
#define P3 3
#define P4 4
#define P5 5

#define OK 0x00000000
#define PORT_ERR 0x00000001
#define TIMEOUT_ERR 0x00000002
#define STOPBIT_ERR 0x00000004

#define TIMEOUT 1000

#define N64_GET_JOYPAD_CMD 0x01

static uchar gpio_port_work;
static uchar n64joypad_data;
static int joypad_err;

static void delay_u(int usec)
{
while (usec--) (void)*((volatile ulong *)G2USB_BASE);
}

static void delay_m(int msec)
{
msec = msec * 770;
while (msec--) (void)*((volatile ulong *)G2USB_BASE);
}

static void delay1u(void) // 1uSEC delay
{
int i;

for (i = 0; i < 46; i++)
;
}

static void delay1u5(void) // 1.5uSEC delay
{
int i;

for (i = 0; i < 69; i++)
;
}

static void n64_cmd(uchar cmd)
{
int i;

gpio_port_work |= n64joypad_data; // port on
*((volatile uchar *)GPIO_REG) = gpio_port_work; //
*((volatile uchar *)CONTROL_REG) &= ~n64joypad_data;// direction output
for (i = 0; i < 8; i++) {
if (cmd & 0x80) { // '1' then '_---'
gpio_port_work &= ~n64joypad_data;
*((volatile uchar *)GPIO_REG) = gpio_port_work;
delay1u();
gpio_port_work |= n64joypad_data;
*((volatile uchar *)GPIO_REG) = gpio_port_work;
delay1u();
delay1u();
delay1u();
} else { // '0' then '___-'
gpio_port_work &= ~n64joypad_data;
*((volatile uchar *)GPIO_REG) = gpio_port_work;
delay1u();
delay1u();
delay1u();
gpio_port_work |= n64joypad_data;
*((volatile uchar *)GPIO_REG) = gpio_port_work;
delay1u();
}
cmd < < = 1;
}
gpio_port_work &= ~n64joypad_data;
*((volatile uchar *)GPIO_REG) = gpio_port_work; // stop bit '__--'
delay1u(); //
delay1u(); //
gpio_port_work |= n64joypad_data; //
*((volatile uchar *)GPIO_REG) = gpio_port_work; //
*((volatile uchar *)CONTROL_REG) |= n64joypad_data; // direction input
}

static ulong get_bit(void)
{
int n = 0;
ulong r;

for (n = 0;; n++) { // wait low
if (!(*((volatile uchar *)GPIO_REG) & n64joypad_data)) break;
if (n >= TIMEOUT) return(-1);
}
delay1u5();
r = *((volatile uchar *)GPIO_REG) & n64joypad_data ? 1 : 0;
for (n = 0;; n++) { //wait high
if (*((volatile uchar *)GPIO_REG) & n64joypad_data) break;
if (n >= TIMEOUT) return(-1);
}
return(r);
}

static ulong get_n64_joypad(void)
{
int i;
ulong c, r = 0;

joypad_err = OK;
for (i = 0; i < 32; i++) {
r < < = 1;
if ((c = get_bit()) == -1) {
joypad_err = TIMEOUT_ERR;
delay_u(150);
return(r);
}
r |= c & 1;
}
if ((c = get_bit()) == -1) {
joypad_err = TIMEOUT_ERR | STOPBIT_ERR;
delay_u(20);
}
return(r);
}

ulong read_n64_joypad(int port, int *err)
{
ulong r;

if (port < P0 || port > P5) {
joypad_err = PORT_ERR;
} else {
n64joypad_data = (uchar)(1 < < port);
n64_cmd(N64_GET_JOYPAD_CMD);
r = get_n64_joypad();
}
if (joypad_err != OK) r = 0;
*err = joypad_err;
return(r);
}

void test_loop(void)
{
for (;;) {
*((volatile uchar *)GPIO_REG) = 0;
delay1u();
*((volatile uchar *)GPIO_REG) = 1;
delay1u();
}
}

void gpio_init(void)
{
*((volatile ushort *)G2USB_BASE) = G2USB_MAGIC_CODE;// device enable
*((volatile uchar *)CONTROL_REG) = 0x3f; // GPIO direction all intput & interrrupt disable
gpio_port_work = 0; // GPIO port init
*((volatile uchar *)GPIO_REG) = gpio_port_work; //
}

void atexit() {}

void tostr(char *s, ulong val)
{
int i;

*s++ = '\r';
for (i = 0; i < 32; i++) {
if (i && (i % 8) == 0) *s++ =' ';
*s++ = val & 0x80000000 ? '1' : '0';
val < < = 1;
}
*s = 0;
}

int main()
{
ulong r;
int err;
char buf[80];

sci_init(57600);
gpio_init();
for (;;) {
r = read_n64_joypad(P0, &err);
if ((r & 0x80000000)) break;
tostr(buf, r);
sci_sendstr(buf);
sci_flush();
delay_m(16);
}
sci_sendstr("\n\r");
sci_flush();
}

// end of : n64joypad.c

reference picture

Dreamcast design example: G2 bus USBN9603 interface
Pin it
Dreamcast design example: G2 bus USBN9603 interface
Pin it
Dreamcast design example: G2 bus USBN9603 interface
Pin it
Dreamcast design example: G2 bus USBN9603 interface
Pin it
Dreamcast design example: G2 bus USBN9603 interface
Pin it

about USBN9603:
USBN9603 is a slave controller of USB.
(It is not possible to use it as a host controller.)

example of USB device:
USBN9603 slave controller SPI/parallel interface
SL811HST host/slave controller parallel interface
MAX3421 host/slave controller SPI interface
(The bus interface of SL811HST is also almost the same as the case of USBN9603.)

← previous
next →
loading
sending ...
New to Neperos ? Sign Up for free
download Neperos App from Google Play
install Neperos as PWA

Let's discover also

Recent Articles

Recent Comments

Neperos cookies
This website uses cookies to store your preferences and improve the service. Cookies authorization will allow me and / or my partners to process personal data such as browsing behaviour.

By pressing OK you agree to the Terms of Service and acknowledge the Privacy Policy

By pressing REJECT you will be able to continue to use Neperos (like read articles or write comments) but some important cookies will not be set. This may affect certain features and functions of the platform.
OK
REJECT